第2讲VerilogHDL层次建模ppt课件.pptx

第2讲VerilogHDL层次建模ppt课件.pptx

ID:59493296

大小:948.11 KB

页数:84页

时间:2020-09-13

第2讲VerilogHDL层次建模ppt课件.pptx_第1页
第2讲VerilogHDL层次建模ppt课件.pptx_第2页
第2讲VerilogHDL层次建模ppt课件.pptx_第3页
第2讲VerilogHDL层次建模ppt课件.pptx_第4页
第2讲VerilogHDL层次建模ppt课件.pptx_第5页
资源描述:

《第2讲VerilogHDL层次建模ppt课件.pptx》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、Verilog硬件描述语言VerilogHDL主讲陈付龙f=ab…安徽师范大学2015第2讲VerilogHDL层次建模层次建模基本语法模块和接口2.1层次建模理解数字电路设计中的自底向上和自顶向下设计方法。解释Verilog中模块和模块实例之间的区别。学习从4种不同的抽象角度来描述同一个模块。解释数字电路仿真中的各个组成部分,定义激励块和功能块,说明两种使用激励进行仿真的方法。2.1.1设计方法学自底向上设计方法:首先定义顶层功能块,进而分析需要哪些必要的子模块;然后进一步对各个子模块进行,直到达到无法进一步分解的底层功能块自顶向下设计方法:首先对现有的功能块进行分析,

2、然后使用这些模块来搭建规模大一些的功能块,如此继续直至顶层模块两种基本的设计方法:自底向上和自顶向下设计方法2.1.2设计示例:四位脉动进位计数器脉动进位计数器是由下降沿触发的T触发器组成的。每个T触发器可以由下降沿触发的D触发器和反相器构成计数器的功能。使用自顶向下的方法进行设计,首先需要说明脉动进位在使用T触发器搭建起顶层模块之后,进一步使用D触发器和反相门来实现T触发器。将较大的功能块分解为较小的功能块,直到无法继续分解。在自底向上的设计方法恰好与此相反:我们不断地使用较小的功能块来搭建大一些的模块。首先使用与门和或门搭建D触发器,或者使用晶体管搭建一个自定义的D触

3、发器,使自底向上和自顶向下的方法在D触发器这个层次上会合。2.1.3模块Verilog使用模块(module)的概念来代表一个基本的功能块。一个模块可以是一个元件,也可以是低层次模块的组合。常用的设计方法是使用元件构建在设计中多个地方使用的功能块,以便进行代码重用。模块通过接口(输入和输出)被高层的模块调用,但隐藏了内部的实现细节。这样就使得设计者可以方便地对某个模块进行修改,而不影响设计的其他部分。在Verilog中,模块声明由关键字module开始,关键字endmodule则必须出现在模块定义的结尾。每个模块必须具有一个模块名,由它惟一地标识这个模块。模块的端口列表则

4、描述这个模块的输入和输出端口。一个模块的示例VerilogHDL的四种描述方式行为或算法级:Verilog所支持的最高抽象层次。设计者只注重其实现的算法,而不关心其具体的硬件实现细节。在这个层次上进行的设计与C语言编程非常类似。数据流级:通过说明数据的流程对模块进行描述。设计者关心的是数据如何在各个寄存器之间流动,以及如何处理这些数据。门级:从组成电路的逻辑门及其相互之间的互连关系的角度来设计模块。这个层次的设计类似于使用门级逻辑简图来完成设计。开关级:Verilog所支持的最低抽象层次。通过使用开关、存储节点及其互连关系来设计模块。在这个层次进行设计需要了解开关级的实现

5、细节。Verilog允许设计者在一个模块中混合使用多个抽象层次。在数字电路设计中,术语寄存器传输级(RTL)描述在很多情况下是指能够被逻辑综合工具接受的行为级和数据流级的混合描述。假设一个设计中包含4个模块,Verilog允许设计者使用4种不同的抽象层次对各个模块进行描述。在经过综合工具综合之后,综合结果一般都是门级结构的描述。一般来说,抽象的层次越高,那么设计的灵活性和工艺无关性就越强;随着抽象层次的降低,灵活性和工艺无关性逐渐变差,微小的调整可能会导致对设计的多处修改。2.1.4模块实例例2.1模块调用例2.2非法模块嵌套定义2.1.5逻辑仿真的构成设计完成之后,还必

6、须对设计的正确性进行测试。我们可以对设计模块施加激励,通过检查其输出来检验功能的正确性。我们称完成测试功能的块为激励块。将激励块和设计块分开设计是一种良好的设计风格。激励块一般均称为测试台(testbench)。可以使用不同的测试台对设计块进测试,以全面验证设计的正确性。激励块的设计有两种模式:有反馈的和无反馈的无反馈的模式这种模式是在激励块中调用(实例引用)并直接驱动设计块。如下图所示,顶层块为激励块,由它控制clk和reset信号,检查并显示输出信号q。有反馈的模式这种模式是在一个虚拟的顶层模块中调用(实例引用)激励块和设计块。激励块和设计块之间通过接口进行交互,如下

7、图所示。激励块驱动信号d_clk和d_reset,这两个信号则连接到设计块的clk和reset输入端口。激励块同时检查和显示信号c_q,这个信号连接到设计块的输出端口q。顶层模块的作用只是调用(实例引用)设计块和激励块。2.1.6自顶向下的设计实例例2.3脉动进位计数器顶层模块例2.4触发器T_FF例2.5带异步复位的D触发器D_FF例2.6激励模块激励信号和波形输出2.1.7小结用于数字电路设计的两种方法:自顶向下方法和自底向上方法。在当今的数字电路设计中,这两种方法经常组合使用。随着设计复杂性的增加,使用这些结构化的方法来

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。