EDA课程设计报告彩灯控制器.doc

EDA课程设计报告彩灯控制器.doc

ID:59588492

大小:1.06 MB

页数:15页

时间:2020-11-13

EDA课程设计报告彩灯控制器.doc_第1页
EDA课程设计报告彩灯控制器.doc_第2页
EDA课程设计报告彩灯控制器.doc_第3页
EDA课程设计报告彩灯控制器.doc_第4页
EDA课程设计报告彩灯控制器.doc_第5页
资源描述:

《EDA课程设计报告彩灯控制器.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、....1设计目的熟练掌握EDA技术利用计算机方面的课程解决专业课程方面点具体问题,达到解决问题,完成课程设计任务,培养实践的目的。2设计要求和任务利用所学的EDA设计方法设计彩灯控制器,熟练使用使用QUARTUSII应用软件,进一步学习使用VHDL语言、原理图等EDA设计方法进行综合题目的方法。功能要求:1.要有多种花型变化(至少4种).2.多种花型可以自动变换,循环往复.3.彩灯变换的快慢节拍可以选择.4.具有清零开关.3总体设计思路及原理描述3.1功能描述在电路中以1代表灯亮,以0代表灯灭,由.资料........0,1按不同的规律组合代

2、表不同的灯光图案,同时使其选择不同的频率,从而实现多种图案多种频率的花样功能显示。在该电路中只需简单的修改程序就可以灵活地调整彩灯图案和变化方式。下面就以一个十六路彩灯控制系统的实现为例进行简单说明。此十六路彩灯控制系统设定有六种花样变化,这六种花样可以进行自动切换,并且每种花样可以选择不同的频率。3.2设计原理用VHDL进行设计,首先应该了解,VHDL语言一种全方位硬件描述语言,包括系统行为级,寄存传输级和逻辑门级多个设计层次。应充分利用DL“自顶向下”的设计优点以及层次化的设计概层次概念对于设计复杂的数字系统是非常有用它使得人们可以从简单的

3、单元入手,逐渐构成庞大而复杂的系统。首先应进行系统模块的划分,规定每一模块的功能以及各个模块之间的接口。最终设计方案为:以一个十六路彩灯花样控制器、一个四频率输出分频器,一个四选一控制器和一个时间选择器总共四部分来完成设计。四选一控制器从分频器选择不同频率的时钟信号输送到彩灯花样控制器,从而达到控制彩灯闪烁速度的快慢,时间选择器控制每种速度维持的时间长短。整个十六路彩灯控制系统设计的模块图如图1所示    .资料........图一4分层次方案设计及代码描述4.1子模块及其功能本次设计分为四个子模块,即十六路彩灯花样控制器、四频率输出分频器,四

4、选一控制器和时间选择器,其子模块及其功能如下:4.1.1四频率输出分频器在本次设计中,设计了六种花样,要求这六种花样以不同的频率显示,而只有一个输入的时钟信号,所以对所输入的时钟信号进行2分频,4分频,8分频.资料........,16分频,得到四种频率信号,CLKDIV模块用来完成此功能。4.1.2时间选择器时间选择器实际上是两个分频器,其中一个频率是另一个频率的两倍。本来这两个分频器是可以在上述的四频率输出器中实现的,但为了方便地为四选一控制器提供不同的时间选择条件,就将这两个分频器独立开来。这两个输出的时钟信号组合起来就可以为四选一控制器

5、提供00,01,10,11四个时间选择条件,如下图三所示。图二4.1.3四选一控制器四选一控制器功能是从分频器中选择不同频率的时钟信号送给彩灯控制器,实现彩灯闪烁的频率变化。4.1.4彩灯控制器彩灯控制电路是整个设计的核心.资料........,它负责整个设计的输出效果即各种彩灯图案的样式变化。该程序充分地说明了用VHDL设计电路的“弹”性,即可通过改变程序中输出变量Q的位数来改变彩灯的数目。其中,P1进程对灯闪的速度控制有两种方式可改变灯闪的速度:一是改变外部时钟的赋值,二是改变信号U的位数。P2进程能进行彩灯的图案控制,改变s的位数即可改变

6、要控制图案的数目,改变输出变量Q的组合即可变幻彩灯图案。最后,当各个模块均完成上述操作之后,即可利用MAXPLUS2的原理图输入,调用各个元器件(底层文件),以原理图的形式形成最后的十六路彩灯显示系统(顶层文件),并且进行仿真。仿真通过,即可下载到指定的CPLD芯片里面,并进行实际连线,进行最后的硬件测试。当然,可以将各个模块所生成的元件符号存放在元件库中,用以被其它人或其它的设计所重复调用,以简化后面的设计。4.2代码描述4.2.1时序控制电路部分程序如下:libraryieee;useieee.std_logic_1164.all;usei

7、eee.std_logic_unsigned.all;useieee.std_logic_arith.all;entitytimecontrolis--定义实体port(clk:instd_logic;--时钟信号.资料........clr:instd_logic;--复位信号opt:instd_logic;--快慢控制信号clkout:outstd_logic--输出时钟信号);endtimecontrol;architectureoneoftimecontrolissignalclk_tmp:std_logic;signalcounter

8、:std_logic_vector(1downto0);--定义计数器beginprocess(clk,clr,opt)beginifclr='0't

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。