基于fpga网络数据监控系统设计

基于fpga网络数据监控系统设计

ID:6073437

大小:27.50 KB

页数:5页

时间:2018-01-02

基于fpga网络数据监控系统设计_第1页
基于fpga网络数据监控系统设计_第2页
基于fpga网络数据监控系统设计_第3页
基于fpga网络数据监控系统设计_第4页
基于fpga网络数据监控系统设计_第5页
资源描述:

《基于fpga网络数据监控系统设计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、基于FPGA网络数据监控系统设计  摘要为了实现对网络数据内容的实时监控,设计了一套基于Virtex-5系列FPGA的网络数据内容监控系统。该系统通过FPGA内部的PCI-E硬核和MAC的IP核实现PCI-E与网络接口通信,并在FPGA内部实现网络数据内容检测功能。给出具体的硬件电路设计。关键词FPGA;网络通信;数据监控中图分类号:TP277文献标识码:A文章编号:1671-7597(2013)20-0040-02随着网络技术的发展,几乎每台电脑都可以通过网络连接到互联网,进行信息的交互,这样极大的提高了工作的效率,但与此同时,由于互联网的开放性,也会出现信息安全的

2、问题。本文设计一套基于FPGA的网络内容监控系统,对经过系统的网络数据进行实时监控,如果发现涉密内容,立刻关闭网络传输,并通知用户进行核实。系统以XILINX公司的Virtex-5系列FPGA为核心器件,通过网络接口接收外网发送来的网络数据,通过PCI-e接口接收来自计算机发往网络的数据,在FPGA内部应用字符串匹配算法对这些数据进行检测,实时性好,可靠性高,在涉密网络管理中有很广泛的应用前景。1系统组成原理5网络内容监控系统的组成原理如图1所示。图1网络内容监控系统的组成原理图如图1所示,网络监控系统处在计算机与网络之间,对两者之间传输的数据进行实时监测。网络数据包

3、含两个通路:一是计算机到网络的数据通路,二是从网络传送到计算的数据通路。下面分别介绍这两个数据通路的网络内容监控原理。从计算机发送到网络的数据,经过计算机的PCI-E接口,传输到本系统内部,本系统中利用FPGA自带的PCI-E的IP核与计算机通过PCI-E接口总线进行通信,接收到计算机的网络数据后,FGPA内部将其分为并行的两个分路。一路直接送到FPGA内部的MAC控制模块,通过物理层接口电路直接转换成网络数据,发送到网络;另一路送到检测模块,对数据进行字符串的匹配,检测本帧数据中是否包含涉密关键字符,如果发现立刻关闭FPGA内部的MAC模块,停止网络发送,并通知计算

4、机。2系统的硬件电路设计2.1PCI-E接口设计PCI-E接口,全称为PCI5Express接口,是一种高速的PCI串行接口,是从原来的PCI和PCI-X总线发展而来的一种新型串行总线,作为高性能的通用互连构架,可用于多种计算机和通信平台,并且可以与PCI和PCI-X总线兼容,采用点对点的串行连接方式,根据接口总线对位宽的要求不同而有所差异,分为PCIExpress1X、2X、4X、8X、16X、32X等多中标准,本文采用PCIExpress1X标准,该标准总线的有效带宽达3Gb/s。该系统的FPGA采用XILINX的Virtex-5系列,该系列FPGA内部提供了标准

5、的PCI-E的硬核,该硬核实现了PCI-E协议的事务层、数据链路层和物理层,以及配置空间的所有功能,因此利用它可以非常方便的实现PCI-E接口。Virtex-5的内PCI-E模块原理图如图2所示。图2Virtex-5内部PCI-E模块原路图2.2网路接口设计ISO国际化标准组织对网络进行标准定义,即OSI(OpenSystemInterconnection)七层参考模型,即物理层、数据链路层、网络层、传输层、会话层、表示层和应用层。一般的网卡要实现这七层中的物理层和数据链路层,其他层在计算机内部软件实现。物理层(PHY)是参考模型的最低层,它定义了网络数据接收和发送的

6、电信号、光信号、线路状态、时钟基准、数据编码和电路等的标准,并给数据链路层设备提供标准的接口。目前,有很多厂商的芯片都支持网络物理层的传输,这些芯片称作PHY芯片,本系统采用Intel公司的LXT971A作为PHY芯片。5数据链路层则提供寻址机构、数据帧的构建、数据差错检查、传送控制、向网络层提供标准的数据接口等功能,本系统采用的Virtex-5FPGA内部提供了MAC控制器的IP核,通过这个IP核,可以非常方便的实现数据链路层的功能解码,其接口原理图如图3所示。图3网络接口电路原理图3试验分析在试验室内搭建了试验平台,对网络内容监控系统进行了试验分析,试验平台如图4

7、所示。图4试验平台结构图如图4所示,将本文设计的系统插到计算机A的PCI-E插槽中,在计算机A中安装驱动和应用程序软件。同时准备一台计算机B,该计算机具有普通的网路接口。将计算机A和B通过网络连接起来。4结论本文以Virtex-5系列FPGA为核心,设计了一套网络内容实时监控系统。给出了具体的硬件电路设计,利用FPGA内部的PCI-E硬核实现了计算机与系统通信,网络通信实现了物理层和数据链路层的解码,与普通网卡功能兼容,系统实时性好、稳定可靠,在涉密网络管理中有非常广泛的应用前景。参考文献[1]黄建.入侵检测系统中字符串匹配算法与实现[D].华中科技

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。