eda课程设计__多路彩灯设计

eda课程设计__多路彩灯设计

ID:6117243

大小:2.39 MB

页数:19页

时间:2018-01-03

eda课程设计__多路彩灯设计_第1页
eda课程设计__多路彩灯设计_第2页
eda课程设计__多路彩灯设计_第3页
eda课程设计__多路彩灯设计_第4页
eda课程设计__多路彩灯设计_第5页
资源描述:

《eda课程设计__多路彩灯设计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、多路彩灯控制器的设计O引言   硬件描述语言(HDL)是相对于一般的计算机软件语言如C,Pascal而言的。HDL是用于设计硬件电子系统的计算机语言,它描述电子系统的逻辑功能、电路结构和连接方式。设计者可以利用HDL程序来描述所希望的电路系统,规定其结构特征和电路的行为方式,然后利用综合器和适配器将此程序变成能控制FPGA和CPLD内部结构,并实现相应逻辑功能的门级或更底层的结构网表文件和下载文件。VHDL(VeryHighSpeedIntegratedCircuitHardwaredescriptionLangtuag

2、e)主要用于描述数字系统的结构、行为、功能和接口。与其他的HDL语言相比,VHDL具有更强的行为描述能力,从而决定了它成为系统设计领域最佳的硬件描述语言。强大的行为描述能力是避开具体的器件结构。从逻辑行为上描述和设计大规模电子系统的重要保证。在文献中作者从不同的角度阐述了EDA技术的应用,它具有功能强大、描述能力强、可移植性好、研制周期短、成本低等特点,即使设计者不懂硬件的结构,也能进行独立的设计。本文以Alter公司提供的Max+PlusⅡ为平台,设计一个可变速的彩灯控制器,可以在不修改硬件电路的基础上,仅通过更改软件

3、就能实现任意修改花型的编程控制方案,实现控制16只LED以6种花型和两种速度循环变化显示,而且设计非常方便,设计的电路保密性。(一)实验目的1.进一步掌握数字电路课程所学的理论知识。2.了解数字电路设计的基本思想和方法,学会科学分析和解决问题。3.熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。4.培养认真严谨的工作作风和实事求是的工作态度一、设计任务与要求(1)要有多种花形变花。(2)多路花形可以自动变换循环往复。(3)彩灯变幻的快慢接拍可以选择。(4)具有清零开关。二、总体框图(一)设计思路

4、用VHDL进行设计,首先应该理解,VHDL语言是一种全方位硬件描述语言,包括系统行为级,寄存器传输级和逻辑门级多个设计层次。应充分利用VHDL“自顶向下”的设计优点以及层次化的设计概念,层次概念对于设计复杂的数字系统是非常有用的,它使得我们可以从简单的单元入手,逐渐构成庞大而复杂的系统。   首先应进行系统模块的划分,规定每一个模块的功能以及各模块之间的接口,最终设计方案分为三大模块:16路花样彩灯显示器、时序控制器、整个电路系统,从而达到控制彩灯闪烁速度的快慢和花型的的变换。1.自动控制多路彩灯按预设的花型进行变换;2

5、、花型种类不少于三种,花型自拟;3.分别用快慢两种节拍实现花型变换。4.选择:用可编辑逻辑器件实现。(二)总体方案的设计根据题目实际要求,经过分析与思考,拟定以下两种方案:方案一:总体分为三个模块。第一块实现花形的演示,,第二块实现花形的控制及节拍控制;第三块实现时钟信号的产生。方案二:整体电路分为四块。第一块实现花形的演示;第二块实现花形的控制;第三块实现节拍控制;第四块实现信号产生。方案三:有三个模块,第一个模块是时钟控制模块,第二块是花形控制模块,第三块是整体模块。(三)总体设计的选择三种方案比较发现,第三种方案相

6、对简单。这样设计其优点在于:设计思想比较简单,元件种类使用较少,且易于连接电路。基于以上原因,加上短时间内完成课程设计,我选择了连线少的,易于连接和调试的方案。(四)总体设计的选择1设计原理  时序控制电路SXKZ根据输入信号CKL_IN,CLR,CHOSE_KEY产生符合一定要求的、供显示控制电路XSKZ使用的控制时钟信号,而显示控制电路XSKZ则根据时序控制电路SXKZ输入的控制时钟信号,输出6种花形循环变化的、控制16路彩灯工作的控制信号,这些控制信号加上驱动电路一起控制彩灯工作。2系统设计方案根据系统设计方案要求

7、可知,整个系统共三个输入信号:控制彩灯节拍快慢的基准时钟信号CLK_IN,系统清零信号CLR,彩灯节拍快慢选择开关CHOSE_KEY:共有16个输出信号LED【15..0】,分别控制16路彩灯。我们可将整个彩灯控制器CDKZQ分为两大部分:时序控制电路SXKZ和显示控制电路XSKZ,整个系统的组成原理如下图。三、选择器件16X16LED显示EPI12版上SW【0】拨码CLK1p四、功能模块(一)时序控制电路的VHDL源程序――SXKZ。VHDHlibraryieee;useieee.std_logic_1164.all;

8、useieee.std_logic_unsigned.all;entitysxkzisport(chose_key:instd_logic;clk_in:instd_logic;clr:instd_logic;clk:outstd_logic);endentitysxkz;architectureartofsxkzi

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。