毕业设计(论文)-fir数字滤波器的fpga实现

毕业设计(论文)-fir数字滤波器的fpga实现

ID:6333584

大小:634.50 KB

页数:28页

时间:2018-01-10

毕业设计(论文)-fir数字滤波器的fpga实现_第1页
毕业设计(论文)-fir数字滤波器的fpga实现_第2页
毕业设计(论文)-fir数字滤波器的fpga实现_第3页
毕业设计(论文)-fir数字滤波器的fpga实现_第4页
毕业设计(论文)-fir数字滤波器的fpga实现_第5页
资源描述:

《毕业设计(论文)-fir数字滤波器的fpga实现》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、毕业设计(论文)题目:FIR滤波器的FPGA实现专业:班级:姓名:学号:指导教师:日期:2011-5-28目录第1章绪论11.1背景和选题依据11.2国内外研究现状和发展动态21.3论文研究的目的和主要内容2第2章FIR的原理及技术32.1FIR滤波器的特点32.2FIR滤波器的基础32.1.1FIR滤波器的基本结构42.1.2FIR滤波器的设计5第3章EDA技术和可编程逻辑器件73.1电子设计自动化EDA技术73.2.1可编程逻辑器件简介83.2.2使用FPGA器件进行开发的优点83.2.3FPGA设计的开发流程93.3硬件描述语言VHDL及数字系统设计方法103.3.1硬件描述语言

2、VHDL简介103.3.2利用硬件描述语言VHDL设计数字系统11第4章基于FPGA的FIR滤波器硬件实现114.1器件介绍和系统开发环境114.1.1Virtex-Ⅱ系列结构和特点114.1.2开发工具简介144.2并行FIR数字滤波器简介154.2.1并行结构的改进154.2.2模块的划分164.3串行FIR数字滤波器的硬件实现174.3.1设计思想与实现174.3.2各模块具体功能的设计实现174.3.3FIR滤波器串行方式实现的系统分析234.3.4串行FIR滤波器的扩展应用244.3.5小结24第5章总结与展望24参考文献25英文翻译26FIR数字滤波器的FPGA实现【摘要】

3、:随着科技的发展,电子电路的设计正逐渐摆脱传统的设计模式,而采用FPGA来设计电子电路正成为设计的趋势。这是因为采用FPGA设计电子电路不仅开发时间短,资金投入相对少,且可将电路板级产品集成为芯片级产品。纵观可编程逻辑器件的发展史,FPGA在结构原理、集成规模、下载方式、逻辑设计手段等方面的每一次进步都为现代电子设计技术的革命与发展提供了不可或缺的强大动力。在数字处理中,滤波占有重要的地位。数字滤波在语音和图像处理、HDTV、模式识别、谱分析等应用中经常用到。有一限长冲激响应(FIR)滤波器,由于FIR系统只有一零点、系统稳定,便于实现FFT算法、运算速度快、线性相位的特性和设计更为灵

4、活等突出优点而在工程实际中获得广泛应用。本文利用FPGA的高速可编程的特点进行FIR滤波器的设计来对探测信号中的干扰加以排除。【关键词】:FIRFPGAVHDL第1章绪论1.1背景和选题依据本课题的研究背景是针对总装各部某重点预研课题的探测器信号处理的需要而开展的工作。弹载毫米波探测系统在复杂的战场环境中土作,经常受到大量的干扰及噪声的影响,为了对探测器的回波进行有效的滤除,利用FPGA的高速可编程的特点对探测信号中的干扰加以排除。在数字处理中,滤波占有重要的地位。数字滤波在语音和图像处理、HDTV(High-DefinitionTelevision)、模式识别、谱分析等应用中经常用到

5、。与模拟滤波相比,数字滤波具有一很突出的优点。例如它可以满足滤波器对幅度和相位特性的严格要求,可以避免模拟滤波所无法克服的电压漂移、温度漂移和噪声等问题。根据数字滤波器冲激响应函数的时域特性,可将数字滤波器分为无限长冲激响应(IIR)滤波器和有限长冲激响应(FIR)滤波器两种。由于FIR系统只有零点、系统稳定,便于实现FFT算法、运算速度快、线性相位的特性和设计更为灵活等突出优点而在土程实际中获得广泛应用。FPGA(FieldprogramGateArray)是可编程逻辑器件中一种比较复杂的形式,它正处于革命性数字信号处理的前沿。全新的FPGA系列正在越来越多的替代ASIC(Appli

6、cation–SpecificIntegratedCircuit)和PDSP(ProgrammableDigitalsignalprocessors)用作前端数字信号处理的运算。FPGA具有与许多与ASIC相同的特点。例如:在规模、重量和功耗等方面都有一所降低。而目‘吞吐量更高、能更好的防止未授权复制、元器件和开发成本的进一步降低,开发时间也大大缩短。还具有一在线路中可重复编程的特性。它允许电路设计者利用基于计算机的开发平台,经过设计输入、仿真、测试和校验,直至达到预期的效果,从而可以产生更为经济的设计。更吸引人的是,采用FPGA器件可以将原来的电路板级产品集成为芯片级产品。正如我们现

7、在所看到的,随着FPGA在数26字信号处理中的大规模应用,正在日渐深入地影响我们的生产和生活,也必将在这领域引起深刻的变革。在本课题的研究中,采用超大规模集成电路硬件描述语言(VHDL)对设计进行描述。在程序设计的过程中,将小同功能代码分别存放,以利于设计的后期更新和维护。可以使用LeonardoSpectrum或FPGAExpress对设计进行综合处理,就可以将代码下载到具体的芯片中,完成FIR滤波器的设计。1.2国内外研究现状和发展动态在现

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。