实验五1位全加器的文本输入(波形仿真应用)

实验五1位全加器的文本输入(波形仿真应用)

ID:6725940

大小:299.50 KB

页数:5页

时间:2018-01-23

实验五1位全加器的文本输入(波形仿真应用)_第1页
实验五1位全加器的文本输入(波形仿真应用)_第2页
实验五1位全加器的文本输入(波形仿真应用)_第3页
实验五1位全加器的文本输入(波形仿真应用)_第4页
实验五1位全加器的文本输入(波形仿真应用)_第5页
资源描述:

《实验五1位全加器的文本输入(波形仿真应用)》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、实验五1位全加器的文本输入(波形仿真用)1.实验目的通过此实验让学生逐步了解、熟悉和掌握FPGA开发软件QuartusII的使用方法及VHDL的编程方法。学习电路的仿真方法。2.实验内容本实验的内容是建立一个1位全加器。在实验箱上的按键KEY1~KEY3分别为A、B和Cin,并通过LED1~LED3指示相应的状态。输出Sum和Cout通过LED7和LED8指示。3.实验原理1位全加器的真值表如下所示。表1位全加器逻辑功能真值表ABCinSumCout000000101010010110010011001101101011

2、11114.实验步骤(1)启动QuartusII,建立一个空白工程,然后命名为full_add.qpf。(2)新建full_add.vhd源程序文件,编写代码。然后进行综合编译。若在编译过程中发现错误,则找出并更正错误,直到编译成功为止。也可采用原理图文件的输入方式,建立半加器,然后在组成1位全加器。原理图如下所示半加器设计1位全加器设计(3)波形仿真步骤如下:①在QuartusII主界面中选择File→New命名,打开新建文件对话框,从中选择VectorWaveformFile,如下图所示。单击OK建立一个空的波形编辑

3、窗口。选择File→Saveas改名为full_add.vwf。此时会看到窗口内出现如下图所示。在空白处双击鼠标左键图新建文件对话框图新建波形文件界面②在上图所示的Name选项卡内双击鼠标左键,弹出如图所示的对话框。在该对话框中单击NodeFinder按钮,弹出如图所示的对话框。图添加节点对话框③按照下图所示进行选择和设置,先按下“list”按钮,再按下“>>”按钮添加所有节点,最后按下“ok”按钮。图添加节点④波形编辑器默认的仿真结束时间为1us,根据仿真需要可以设置仿真文件的结束时间。选择Edit→EndTime命令

4、可以更改。这里采用默认值不需更改。图添加完节点的波形图⑤编辑输入节点的波形。编辑时将使用到波形编辑工具栏中的各种工具。下图显示了工具栏中各种工具的功能。图波形编辑器工具条⑥在QuartusII主界面下选择Processing→SimulatorTool命令,弹出如下图对话框。按下图步骤进行选择和设置⑦观察仿真结果是否与设计相符合,如果不符合,须重新设计文件,再进行综合编译、仿真,直到仿真结果与设计相符为止。图仿真设置对话框图1位全加器仿真波形图(4)选择目标器件并对相应的引脚进行锁定,这里选择的器件为Altera公司的C

5、ycloneI系列的EP1C6Q240C8。引脚锁定方法如下表所列。(未使用引脚一定要设置)表引脚锁定方法(5)本实验把短接帽接到下面。把LED1~LED8的跳帽插上,使发光二极管有效。下载程序,按下KEY1~KEY3,观察发光二极管LED1~LED3、LED7、LED8的状态。附加实验:2位全加器

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。