eda课程设计---数字秒表的设计

eda课程设计---数字秒表的设计

ID:9382353

大小:1.95 MB

页数:25页

时间:2018-04-29

eda课程设计---数字秒表的设计_第1页
eda课程设计---数字秒表的设计_第2页
eda课程设计---数字秒表的设计_第3页
eda课程设计---数字秒表的设计_第4页
eda课程设计---数字秒表的设计_第5页
资源描述:

《eda课程设计---数字秒表的设计》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、电子课程设计----数字秒表的设计学院:电子信息工程学院专业班级:通信姓名:马淑慧学号:8指导教师:王海东2013年12月目录一.设计任务及要求……………………………………………………1二.总体框图……………………………………………………………1三.选择器件……………………………………………………………1四.功能模块……………………………………………………………24.1分频模块……………………………………………………………24.20.01秒模块…………………………………………………………34.3秒模块………………………………………………………………54.4分模

2、块………………………………………………………………64.5扫描模块……………………………………………………………74.6数码管模块…………………………………………………………94.7三八译码器模块…………………………………………………11五.总体设计电路图……………………………………………………135.1总体电路设计原理图………………………………………………135.2仿真结果……………………………………………………………135.3管脚分配……………………………………………………………205.4硬件实现……………………………………………………………20六.心得体

3、会……………………………………………………………22数字秒表的设计一.设计任务及要求设计一个数字秒表,用VHDL语言编程,并进行下载,仿真。具体化技术指标如下:(1)数字秒表的计时范围是0秒-59分59.99秒,显示的最长时间为59分59秒;(2)数字秒表的计时精度是10ms;(3)复位开关可以在任何情况下使用,即便在计时过程中,只要按一下复位开关,计时器就清零,并做好下次计时的准备;(4)具有启/停开关,即按一下启/停开关,起动计时器开始计时,再按一下启/停开关则停止计时。二.总体框图分频器高/低电平0.01秒模块秒模块分模块置数/位选显示模块图1.总体框图

4、由频率信号输出端输出频率为100HZ的时钟信号,输入到0.0秒模块的时钟端clk,0.01秒模块为100进制的计数器,产生的进位信号count输入到下一级秒模块的时钟端,以此类推,直到分模块计数到59进60时,产生的进位信号不输出,计数清零。将0.01秒、秒、分产生的计数通过置数/位选再通过显示模块实时显示。三.器件选择1、PC机一台。2、CPLD/FPGA适配器板:标准配置EPF10K10LC84-421接口板,下载接口是数字芯片的下载接口(DIGITALJTAG),主要用于CPLD/FPGA芯片的数据下载。3、实验箱:装有七段数码管,七段数码管真值表及字型

5、如下:输入输出字型DCBA00001111110000101100000010110110100111111001010001100110101101101101101011111011111100001000111111110011111011表1.七段数码管真值表七段数码管字形如下:图2.数码管字型图四.功能模块4.1分频模块设计1.模块图21图3.分频器模块图时钟脉冲是50MHz,因此在实验时需要对其进行分频,才能实现功能,若需要100Hz的时钟,则需要对脉冲进行次分频;此外,实验还需要数码管动态显示的扫描时钟,本实验采用1000次分频。2.程序libr

6、aryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitydivisport(clk:instd_logic;clk1:outstd_logic);enddiv;architecturebehavofdivisbeginprocess(clk)variablenum:integerrange0to;variableq:std_logic;beginifclk'eventandclk='1'thenifnum=thennum:=0;q:=notq;elsenum:=num+1;

7、endif;endif;clk1<=q;endprocess;endbehav;4.20.01秒模块设计1.模块图21图4.0.01秒模块图0.01秒模块由两个十位计数器组成,可以实现带有100进制进位和清零功能,暂停等功能。0.01秒个位输入为100HZ脉冲,其进位信号输出,作为周期时钟信号,为0.01秒十位提供脉冲。个位模块和十位模块都有清零信号clear和秒表启停位start,输出0.01秒个位、十位及进位信号cout。2.仿真图由于该模块是由两个十进制级联而成的,现对一个十进制模块仿真,仿真图如下:图5.十进制仿真图由仿真的结果可以看出来,从0000开

8、始计数,当计数计到1001时,自动清零

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。