毕业论文_eda设计基于cpld的信号发生器设计

毕业论文_eda设计基于cpld的信号发生器设计

ID:9872856

大小:590.50 KB

页数:13页

时间:2018-05-13

毕业论文_eda设计基于cpld的信号发生器设计_第1页
毕业论文_eda设计基于cpld的信号发生器设计_第2页
毕业论文_eda设计基于cpld的信号发生器设计_第3页
毕业论文_eda设计基于cpld的信号发生器设计_第4页
毕业论文_eda设计基于cpld的信号发生器设计_第5页
资源描述:

《毕业论文_eda设计基于cpld的信号发生器设计》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、基于CPLD的信号发生器设计摘要:本文给出了一种采用CPLD作为主控器的信号发生器设计方案。由于采用EDA技术进行设计,该方案具有工作速度快,硬件体积小,可靠性高等优点。关键词:信号发生器;VHDL;EDA;CPLD1引言随着社会的发展,人们对生活的要求也逐步提高,也越来越希望在各个方面都有很大的提高,尤其是在信号方面,信号发生器作为提供测试用电信号的仪器必不可少。传统信号发生器要用模拟器件来实现,后来出现了用数字电路来设计的方案,例如采用单片机等。EDA技术的出现与可编程器件的应用改变了数字电路的设计方法。采用可编程器件进行项目开发具有费用低、开发时间短的特点,有利于新产品占领市场

2、。本文给出了一种采用CPLD作为主控部件的信号发生器设计方案,在设计输入时采用VHDL进行描述,再连入外围电路与CPLD构建起整个系统。2系统设计2.1设计要求信号发生器能够产生正弦波、方波、三角波,并可通过开关选择输出波形。2.2设计思路根据设计要求,智能信号发生器由4部分组成,既电源模块、时钟信号发生器、主控器、D/A转换模块,系统结构如图1所示。晶体振荡器产生稳定度很高的时钟信号,在时钟信号的作用下,主控器产生频率可变的波形数据信号,经数/摸转换电路最终输出所需要的波形。图1系统结构框图2.3模块设计132.3.1主控器设计在主控器内部也共有四个模块,既三角波模块,正弦波模块,

3、方波模块和一个控制模块,通过编程可以分别设计这四个模块。了【】图3CPLD内部控制原理图2.3.1.1三角波模块13三角波模块是在设计时置一变量作为工作状态标志,在此变量全为0时,当检测到时钟的上升沿时进行加同一个数操作,全为0时,进行减同一个数操作。由于A/D转换采用12位的ADC7545芯片,且设64个时钟为一个三角波周期,输出每次加/减8。设计程序如下:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYdeltaISPORT(clk,reset:INSTD_LOGIC;q:OU

4、TSTD_LOGIC_VECTOR(7DOWNTO0));ENDdelta;ARCHITECTUREbehaveOFdeltaISBEGINPROCESS(clk,reset)VARIABLEtmp:STD_LOGIC_VECTOR(7DOWNTO0);VARIABLEa:STD_LOGIC;BEGINIFreset=’0’THENtmp:=””;ELSIFclk’EVENTANDclk=’1’THENIFa=’0’THENIFtmp=””THENtmp:=””;a:=’1’;ELSEtmp:=tmp+1;--------递增运算ENDIF;ELSEIFtmp=””THENtmp:=

5、””;a:=’0’;ELSEtmp:=tmp-1;---------递减运算ENDIF;ENDIF;13ENDIF;q<=tmp;ENDPROCESS;ENDbehave;2.3.1.2正弦波模块正弦波模块是对一个正弦波周期分为64个采样点,然后量化为8位2进制数据,最大值为255,最小值为0,由此得到正弦波表,经D/A转换得到波形。设计程序为:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYsinISPORT(clk,clr:INSTD_LOGIC;d:OUTINTEGERRAN

6、GE0TO255);ENDsin;ARCHITECTUREbehaveOFsinISBEGINPROCESS(clk,clr)VARIABLEtmp:INTEGERRANGE0TO63;BEGINIFclr=’0’THEND<=0;ELSIFclk’EVENTANDclk=’1’THENIFtmp=63THEN---------一个周期取64点tmp:=0;ELSEtmp:=tmp+1;ENDIF;CASEtmpIS----查表输出WHEN00=>d<=255;WHEN01=>d<=254;WHEN02=>d<=252;WHEN03=>d<=249;WHEN04=>d<=245;WH

7、EN05=>d<=239;WHEN06=>d<=233;WHEN07=>d<=225;WHEN08=>d<=217;WHEN09=>d<=207;WHEN10=>d<=197;WHEN11=>d<=186;13WHEN12=>d<=174;WHEN13=>d<=162;WHEN14=>d<=150;WHEN15=>d<=137;WHEN16=>d<=124;WHEN17=>d<=112;WHEN18=>d<=99;WHEN19=>d<=87;WHEN20=

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。