eda实验一 七人表决器

eda实验一 七人表决器

ID:12443930

大小:101.50 KB

页数:4页

时间:2018-07-17

eda实验一  七人表决器_第1页
eda实验一  七人表决器_第2页
eda实验一  七人表决器_第3页
eda实验一  七人表决器_第4页
资源描述:

《eda实验一 七人表决器》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、河北科技大学实验报告2013级电信专业132班学号1307012132016年5月23日姓名田继辉同组人指导教师于国庆实验名称实验一七人表决器成绩实验类型设计型批阅教师一、实验目的(1)掌握MUXPLUSII语言输入的设计过程。(2)初步了解VHDL语言。(3)熟悉FPGA项目设计的基本流程。二、实验原理:用七个开关作为表决器的7个输入变量,输入变量为逻辑“1”时表示表决者“赞同”;输入变量为逻辑“0”时表示表决者“不赞同”;输出逻辑“1”时,表示表决“通过”;输出逻辑“0”时,表示表决“不通过

2、”;当表决器的七个输入变量中有4个及以上为“1”时,则表决器输出为“1”,否则为“0”。表决器输入采用试验箱K1~K16,输出采用试验箱L15、L16指示;同意红灯亮,否则黄灯亮。三、实验内容及步骤1.打开MUXPLUSIIVHDL编辑器,完成七人表决器的设计。包括VHDL程序输入、编译、综合。实验程序如下:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;ENTITYvote7ISPORT(men:INs

3、td_logic_vector(6downto0);3pass,stop:bufferstd_logic);ENDvote7;ARCHITECTUREbehaveOFvote7ISBEGINstop<=notpass;PROCESS(men)variabletemp:std_logic_vector(2downto0);BEGINtemp:="000";foriin0to6loopif(men(i)='1')thentemp:=temp+1;elsetemp:=temp+0;endif;endl

4、oop;pass<=temp(2);ENDPROCESS;ENDbehave;2、建立仿真波形文件,使用MAXPLUSIISimulator功能进行功能仿真。仿真结果如下:3、目标器件选择与管脚锁定并重新编译、综合、适配。3FPGA型号:EP1K100QC208-3引脚绑定:NODE绑定FPGA引脚对应实验箱上的a064LK1a165LK2a267LK3a368LK4a469LK5a570LK6a671LK7s90L1p92L24、下载并验证结果将编译好的程序进行一系列的元件引脚绑定之后,Pro

5、grammer–>Configuration成功,测试按键发现有个键按下去了但是并未显示想要的结果,于是更换别的LK按键指示灯,这次达到了预期的效果。接着画仿真电路图,设置Endtime=60.0μs,按照实验要求设计出七人表决器的仿真波形,Simulator一下,结果在意料之外。检查不出什么毛病,请教她人才明白:原来是忘了保存。最后结果如预料一般很完美,但按下的按键多于三个(即四个或四个以上)时,指示灯会亮,从而实现少数服从多数的结果。四、实验结果与总结表决器就是对于一个行为,由多个人投票,如

6、果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。七人表决器由七个人来投票,当同意的票数大于或者等于4人时,则认为同意;反之,当否决的票数大于或者等于4人时,则认为不同意。实验中用7个拨挡开关来表示七个人,当对应的拨挡开关输入为‘1’时,表示此人同意;否则若拨挡开关输入为‘0’3时,则表示此人反对。表决的结果用一个LED表示,若表决的结果为同意,则LED被点亮,;否则,如果表决的结果为反对,则LED不会被点亮。同时用七段显示数码管来显示赞成的人数和反对的人数。由于第一

7、次是接触MAX+plusⅡ,英文版的,导致刚开始操作时无从下手。虽然老师有在亲切和蔼地为同学们讲述操作流程和如何使用,但有时候还是没有跟上,问旁边的同学也不会。这就到了考验一个人学习能力的时候了,通过向他人请教和自己摸索,终于熟悉了一系列的操作步骤。当到了FloorplanEditor绑定元件引脚这一步时,竟然没有改显示的引脚界面图……因为还要选择设备为——EP1K100QC208-3,和外围硬件箱芯片相匹配。第一阶段在不断地尝试与调试、不断地请教与重做中完成了,脑门子都已是大汗淋漓。第二阶段的

8、画仿真电路图,有了前面一系列的探索与尝试,这一步很快就完成了。只是要将其缩小到μm级而不是nm级,只为了更符合要求,看起来也美观一些。虽然忘记了时间要有所延迟以便更贴近于实际生活,不过总的来说也算大功告成了。只有实践才是检验真理的唯一标准,同理,只有通过亲自动手实验你才能真正学到点东西。你不去做,你永远不知道真相。人类的智慧也是无穷无尽的,在EDA领域,不仅创造了FPGA现场可编程逻辑门阵列这种技术,而且还开发了VHDL超高速集成电路硬件描述语言这一门编程语言,使得人机自动化技术的发展愈发趋于完

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。