eda实验-七人表决器

eda实验-七人表决器

ID:32820873

大小:141.09 KB

页数:3页

时间:2019-02-16

eda实验-七人表决器_第1页
eda实验-七人表决器_第2页
eda实验-七人表决器_第3页
资源描述:

《eda实验-七人表决器》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、一、实验目的(1)掌握MUXPLUSII语言输入的设计过程。(2)初步了解VHDL语言。(3)熟悉FPGA项口设计的基本流程。二、实验原理:用七个开关作为表决器的7个输入变量,输入变量为逻辑“1”时表示表决者“赞同”;输入变量为逻辑“0”吋表示表决者“不赞同”;输出逻辑“1”吋,表示表决“通过”;输出逻辑“0”时,表示表决“不通过”;当表决器的七个输入变量中冇4个及以上为“1”时,则表决器输出为“1”,否则为“0”O表决器输入采用试验箱K1〜K16,输岀采用试验箱L15、L16指示;同意红灯亮,否则黄灯亮。三、实验内容及步骤1.打开MUXP

2、LUSIIVHDL编辑器,完成七人表决器的设计。包括VHDL程序输入、编译、综合。实验程序如下:libraryieee;useieee.std_logic_l164.ALL;entityGSLisport(a:instd_logic_vector(6downto0);b:outstd_logic;c:outstd_logic);endentityGSL;architecturenumberofGSLisbeginprocess(a)variableent:integerrange0to7;begincnt:=O;foriin6downto0

3、loopifa(i)=!1'thencnt~cnt+l;endif;endloop;ifcnt>3thenb<='T;cv='0‘;elseb<-0';cv二T;endif;endprocess;endarchitecturenumber;2、建立仿真波形文件,使用MAXPLUSIISimulator功能进行功能仿真。仿真结果如下:3、目标器件选择与管脚锁定并重新编译、综合、适配。FPGA型号:EP1K100QC208-3引脚绑定:NODE绑定FPGA引脚对应实验箱上的aOPIN-64KL1alPIN-65KL2a2PIN-66KL3a3P

4、IN-67KL4a4PIN-68KL5a5PIN-69KL6a6PIN-70KL7bPIN-202KL17cPIN-203KL164、下载并验证结果将编译好的程序进行引脚锁定及硬件配置后便可下载到目标芯片中,我们将程序下载到芯片中后结果输出灯c亮b灭,当我们按下aO到a6任意四个或四个以上按键时c灭b亮,实验结果符合预期效果,结果正确。四、实验结果与总结我们这次EDA实验课设计了一个双LED灯输出七人表决器,实验结果符合预期效果即任意按下四个或四个以上按键表示同意同意灯亮反对灯灭。通过这次实验我们加深了对EDA这门课程的理解,学会了如何使用

5、MAXPLUSII进行VHDL语言编程以及将程序下载到芯片上,增加了对学习VHDL语言的兴趣,增强了实践动手能力,学到了许多课本上没有的知识。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。