光电_线阵ccd驱动电路的fpga时序设计 2

光电_线阵ccd驱动电路的fpga时序设计 2

ID:15432692

大小:241.00 KB

页数:7页

时间:2018-08-03

光电_线阵ccd驱动电路的fpga时序设计 2_第1页
光电_线阵ccd驱动电路的fpga时序设计 2_第2页
光电_线阵ccd驱动电路的fpga时序设计 2_第3页
光电_线阵ccd驱动电路的fpga时序设计 2_第4页
光电_线阵ccd驱动电路的fpga时序设计 2_第5页
资源描述:

《光电_线阵ccd驱动电路的fpga时序设计 2》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、线阵CCD驱动电路的FPGA时序设计一,设计人员:姜小文姜虎彪黄志海孔敏二,设计要求设计一线阵CCD驱动时钟,用一输入的clk,驱动CCD、AD、FIFO组成的整个CCD系统,并要求有一个复位端reset。三,设计目的本实验主要是基于FPGA设计线阵CCD器件复杂驱动电路和整个CCD的电子系统控制逻辑时序的方法,并给出时序仿真波形,通过对线阵CCD驱动电路的时序设计,了解一个系统设计的基本方法。四,介绍CCDCCD是以电荷作为信号,而不同于其他大多数器件是以电流或者电压为信号,其基本功能是信号电荷的产生、存储、传输和检测。当光入射到CCD的光

2、敏面时,CCD首先完成光电转换.即产生与入射光辐射量成线性关系的光电荷。CCD的工作原理是被摄物体反射光线到CCD器件上。CCD根据光的强弱积聚相应的电荷,产生与光电荷量成正比的弱电压信号,经过滤波、放大处理,通过驱动电路输出一个能表示敏感物体光强弱的电信号或标准的视频信号。基于上述将一维光学信息转变为电信息输出的原理,线阵CCD可以实现图像传感和尺寸测量的功能。其显著特点是:1.体积小重量轻;2.功耗小,工作电压低,抗冲击与震动,性能稳定,寿命长;3.灵敏度高,噪声低,动态范围大;4.响应速度快,有自扫描功能,图像畸变小,无残像;5.应用超

3、大规模集成电路工艺技术生产,像素集成度高,尺寸精确,商品化生产成本低。因此,许多采用光学方法测量外径的仪器,把CCD器件作为光电接收器。五,设计思路元器件选择1、CCD:sonyILX5112、AD:AnalogDevices---AD92243、FIFO:IntegratedDeviceTechnology---IDT7204方案:FPGA产生CCD线阵、AD、FIFO所需要的驱动时钟,从而实现ccd线阵信号的采集到信号调理,再经由AD进行模数转换后经FIFO实现信号输出到读接口的过程。我们需要用一个输入的clk,产生CCD、AD、FIFO

4、所需要的clk,用以驱动它们。CCD需要两个时钟:rog和clk,AD和FIFO分别需要一个clk。六,设计代码libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityccdisport(clk,reset:instd_logic;rog,ccd1,ad1,fifo1:outstd_logic);endccd;architectureccd_1ofccdissignalcount:integerrange0to2130;signalrog2:std

5、_logic;signalccd2:std_logic;signalad2:std_logic;signalfifo2:std_logic;beginrog<=rog2;ccd1<=ccd2orclk;ad1<=ad2orclk;fifo1<=fifo2orclk;process(reset,clk)beginifreset='0'thenrog2<='1';ccd2<='1';ad2<='1';fifo2<='1';count<=0;elsifclk'eventandclk='1'thencount<=count+1;casecountis

6、when0to6=>rog2<='1';ccd2<='1';ad2<='1';fifo2<='1';when7to16=>rog2<='0';ccd2<='1';ad2<='1';fifo2<='1';when17to22=>rog2<='1';ccd2<='1';ad2<='1';fifo2<='1';when23to55=>rog2<='1';ccd2<='0';ad2<='1';fifo2<='1';when56to58=>rog2<='1';ccd2<='0';ad2<='0';fifo2<='1';when59to2106=>rog

7、2<='1';ccd2<='0';ad2<='0';fifo2<='0';when2107to2109=>rog2<='1';ccd2<='0';ad2<='0';fifo2<='0';when2110to2130=>rog2<='1';ccd2<='0';ad2<='1';fifo2<='1';endcase;ifcount>=2130thencount<=0;endif;endif;endprocess;endccd_1;七,仿真结果:全图:八,实验总结通过本次实验加深了对CCD的了解,掌握了VHDL的使用方法,加深了解时序电路的设计法,

8、完成了时序电路的设计和实现。在实验过程中,由于对clk时钟脉冲的设置还有仿真结束时间的设置不了解,得不到clk脉冲,而且仿真时间很短,经过老师指导,修改设置后得到正

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。