基于fpga的面阵ccd驱动电路的设计

基于fpga的面阵ccd驱动电路的设计

ID:23927206

大小:176.00 KB

页数:5页

时间:2018-11-11

基于fpga的面阵ccd驱动电路的设计_第1页
基于fpga的面阵ccd驱动电路的设计_第2页
基于fpga的面阵ccd驱动电路的设计_第3页
基于fpga的面阵ccd驱动电路的设计_第4页
基于fpga的面阵ccd驱动电路的设计_第5页
资源描述:

《基于fpga的面阵ccd驱动电路的设计》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、基于FPGA的面阵CCD驱动电路的设计作者:    时间:2010-05-05    来源:电子产品世界          0引言  CCD(ChargeCoupledDevices)电荷耦合器件是20世纪70年代初发展起来的新型半导体集成光电器件。近30年来,CCD器件及其应用技术的研究取得飞速进展,特别是在图像传感和非接触测量领域的发展尤为迅速,它具有噪声低、光谱响应宽、精度和灵敏度高、可靠性好等优点。CCD成像系统主要由光学系统、驱动电路、信号处理电路和图像处理电路组成。  本文主要介绍CCD传感器驱动电路的设计,

2、包括驱动时序产生电路、电源变换电路和驱动器电路。其中,驱动时序产生电路向CCD传感器提供正常工作所需要的各种时序脉冲;电源变换电路向CCD提供正常工作时所需的各种直流偏置电压;驱动器电路用来提高驱动时序的驱动能力。  lCCD驱动时序电路的要求及实现  1.1CCD图像传感器TH7888A  CCD图像传感器采用ATMEL公司的TH7888A。它是一种高性能的帧转移面阵CCD器件,提供单路和双路两种输出方式,输出数据速率可达40MHz,每秒30帧图像。TH7888A具有较低的暗电流及像元读出噪声,可用电子快门来调节曝光时

3、间,性能优异。TH7888A由感光区,存储区和水平移位寄存器构成,有效像元数为1024×1024个。  CCD的一个工作周期可分为两个阶段:光积分阶段和电荷转移阶段。光积分阶段进行感光阵列的电荷积累,存储区到转移寄存器的电荷转移(行逆程)以及转移寄存器向输出放大器的电荷输出(行正程);转移阶段主要进行帧转移,即将感光区的光积分电荷转移至存储区。要完成如上功能就要给CCD提供严格的驱动时序时钟。TH7888A的各驱动时序关系如图1所示。  图1中,φPA为帧时钟,高电平时为光积分阶段,低电平时为电荷转移阶段。φP1~φP4

4、为帧转移脉冲,在光积分阶段时不变,在电荷转移阶段时同行转移控制信号φM1~φM4一起完成整帧的转移。在光积分阶段,行逆程状态时,帧存储区各行的信号电荷在行转移信号φM1,φM4控制下向水平移位寄存器方向平移一行,读出寄存器时钟φL1,φL2不变;行正程状态时,水平移位寄存器中的像元电荷在读出寄存器时钟φL1,φL2的控制下逐次经过输出放大器输出。每读出一行信号,进行一次行转移。一帧图像传完后,再进行下一帧图像的帧转移。  1.2基于FPGA的CCD驱动时序的实现  可编程逻辑器件FPGA具有集成度高、速度快、可靠性好及硬

5、件可编程的特点,开发灵活、易于维护、非常适合CCD驱动的设计。设计选用的是Xilinx公司Spartan3系列的XC3S50,在分析CCD驱动时序关系的基础上,采用硬件编程语言VHDL编写,开发软件为ISE10.1。  程序输入为40MHz主时钟CLK,由外部晶振提供,输出为十三路驱动信号。设计采用单路输出的方式,输出数据速率选为10MHz。使用全部1024×1024个有效像元,在水平方向上,有效像元加上隔离元、黑参考元等共1056个像元。在垂直方向上有效像元加上哑像元、黑参考元等共1056行。进行适量冗余设计,再考虑帧

6、转移和行转移所占用的时间,帧频为每秒8帧。复位时钟OR由主时钟四分频得到。由于CCD各驱动信号间要严格地满足时序关系,且波形比较复杂,程序采用多进程,多计数器循环嵌套的方式实现。帧时钟φA为最外部循环,在光积分阶段,由行逆程和行正程组成第一部分内循环,由主时钟分频、计数设计完成,同时产生行脉冲信号,对行脉冲信号计数产生帧周期;在电荷转移阶段帧转移脉冲φP1~φP4(行转移控制信号φM1~φM4)组成第二部分内循环,信号间的时序关系由主时钟分频、移位实现。  在设计上,需要注意以下两点:  (1)帧转移脉冲φP1~φP4的

7、占空比为5:3,因此先用一个八进制的计数器设计出占空比为5:3的脉冲,再由帧时钟φA的控制及移位操作来实现其严格的时序。  (2)对于φA和φP1~φP4,手册上对其波形的边沿变化时间有限制,对于时间上限,由于信号从FPGA输出之后是通过驱动器EL7212驱动后送入CCD的,而EL7212输出波形的上升及下降时间的最大值已满足此上限要求;对于时间下限,可在CCD管脚附近增加电容和电阻调节波形边沿的陡峭度来满足要求。  1.3CCD驱动时序的仿真  设计采用ISE10.1自带的仿真工具对时序进行仿真,并对Xilinx公司的

8、FPGA芯片XC3S50进行配置下载,通过功能仿真验证设计的可行性。驱动时序的仿真结果如图2,图3所示。  由图可见,设计完成了CCD对驱动信号的要求。12基于FPGA的面阵CCD驱动电路的设计作者:    时间:2010-05-05    来源:电子产品世界          2电源变换电路  CCD电路的工作电压

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。