VHDL语言进行集成电路设计

VHDL语言进行集成电路设计

ID:38577884

大小:418.50 KB

页数:35页

时间:2019-06-15

VHDL语言进行集成电路设计_第1页
VHDL语言进行集成电路设计_第2页
VHDL语言进行集成电路设计_第3页
VHDL语言进行集成电路设计_第4页
VHDL语言进行集成电路设计_第5页
资源描述:

《VHDL语言进行集成电路设计》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第6章用VHDL语言进行集成电路设计现代电子设计方法概论本章阐述在VHDL程序设计完成之后,怎样进行处理,才能完成集成电路设计的过程。计算机的应用促进了新学科的诞生。EDA工程就是以计算机为工作平台,以EDA软件工具为开发环境,以硬件描述语言为设计语言,以可编程器件为实验载体,以ASIC、SOC芯片为设计目标,以电子系统设计为应用方向电子产品自动化设计过程。现代电子设计方法是现代电子设计的基础,是电子线路原理设计,电子系统整机设计,集成电路芯片设计的方法学。现代电子设计方法的研究目标主要是怎样用VHDL语言设计超大规模专用集成电路

2、(ASIC),怎样对一片超大规模集成电路进行功能划分,VHDL语言描述、逻辑综合、仿真分析、形式验证、设计实现是现代电子设计方法要解决的主要问题。电子设计技术的进展经典电子设计方法的特征采用自下而上的(BottomUP)的设计方法。:采用通用元器件,每个元器件的功能都是确定的,利用这些元器件搭建目标功能模块。设计者必须对成千上万种通用元器件的性能特点熟练掌握,并且元器件容易购到,成本较低。在硬件模块电路构成之后才能进行硬件、软件调试。如果设计过程中的问题到后期才会被发现,这就可能造成推翻设计重新开始的危险,使设计周期大大加长,延误

3、了电子产品的按时推出。设计文件以电路原理图为核心。EDA工具电子设计技术由于计算机技术的发展而产生了巨大变化。由于电子科学是计算机科学的基础,计算机学科的发展离不开电子学科的支持,但是计算机科学又反作用于电子科学,加速了电子学科的发展。这样构成了一个闭环正反馈系统,使的电子设计技术很快由计算机辅助设计(ECAD)阶段进入了电子设计自动化(EDA)阶段。EDA工程概念EDA工程领域硬件描述语言EDA工具EDA理论基础实现载体设计方法学流片EDA工程的实现载体---FPGA器件一个电子系统可能由数万个中小规模集成电路构成,这就带来了体

4、积大、功耗大、可靠性差的问题,解决这一问题的有效方法就是采用ASIC(ApplicationSpecificIntegratedCircuits)芯片进行设计。其优点是:芯片可以获得最优的性能,即面积利用率高、速度快、功耗低。缺点是:开发周期长,费用高,只适合大批量的产品开发。分为:全定制ASIC,半定制ASIC,可编程ASIC(也称为可编程专用集成电路)。FPGA器件EDA工程的设计语言---VHDL语言VHDL是一种全方位的硬件描述语言,支持系统行为级、寄存器传输级和逻辑门级多个设计层次,支持结构描述、数据流描述和行为描述及三

5、种形式的混合描述。VHDL语言覆盖了多种硬件语言的功能,“自顶向下”或“自底向上”的电子系统设计过程都可以用VHDL语言来完成。VHDL语言设计描述和系统建模IP设计和SOC设计将整个电子系统集成在同一芯片上,称为片上系统(SOC)。产品设计日益复杂,产品的生命周期不断缩短,因而要求设计出更新、更快、更廉价的产品。在设计印刷电路板时采用IP模块设计方法,以及提供顺畅且可靠的设计流程至关重要。即:项目设计→ASIC设计→IP模块设计→PCB设计→仿真设计→测试设计。在PCB设计之前先设计ASIC芯片,尽量减少板上元件数目,提高集成度

6、,提高可靠性。设计描述和系统建模软IP核与硬IP核软IP是用硬件描述语言设计的具有一定电路功能的程序模块。硬IP是在软IP的基础上,结合半导体工艺、设计规则而生成的集成电路版图。软、硬IP的概念设计复用方法IP(intelligentproperty)模块设计不仅是集成电路设计公司的重要任务,也是EDA工具开发公司的实力表现,一套EDA工具,它提供的IP模块越丰富,用户的设计就越方便、越容易。关于应该使用哪种类型的IP至今仍有争议。究竟是使用需要VHDL代码进入综合和布局布线过程的软IP,还是使用芯片中物理掩膜布局已得到证明的硬I

7、P,要根据设计项目的具体情况来确定。IP复用流程硬IP的复用流程软IP的复用流程设计综合高层次综合高层次综合范畴高层次综合流程设计仿真仿真(emulation),利用计算机硬件平台,EDA工程设计环境,搭建虚拟的设计系统,在计算机上进行波形分析,时序分析,功能验证的过程称为仿真。EDA工具的不完备,设计项目的修改,描述文件的错误等原因,都使设计项目需要仿真、验证。仿真的层次:电路级仿真;逻辑仿真;开关级仿真;寄存器传输级仿真;高层次仿真。仿真系统构成常用仿真方法在EDA工程领域,仿真分为功能仿真和时序仿真。前者验证设计模块的逻辑功

8、能,后者用于验证设计模块的时序关系;无论是功能仿真,还是时序仿真,其仿真方法有两种:交互式仿真方法测试平台法集成系统设计方法在同一个芯片上集成了控制部件(微处理器、存储器、I/O接口)和执行部件(微型开关,微机械),能够自成体系,独立工作的芯片称为

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。