《VHDL语法基础》PPT课件

《VHDL语法基础》PPT课件

ID:39366087

大小:1017.60 KB

页数:76页

时间:2019-07-01

《VHDL语法基础》PPT课件_第1页
《VHDL语法基础》PPT课件_第2页
《VHDL语法基础》PPT课件_第3页
《VHDL语法基础》PPT课件_第4页
《VHDL语法基础》PPT课件_第5页
资源描述:

《《VHDL语法基础》PPT课件》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第3章VHDL语法基础3.1顺序语句3.2并行语句3.1顺序语句VHDL有两种类型语句:顺序语句和并行语句(并发语句)。顺序语句的执行(指仿真执行)顺序是与它们的书写顺序基本一致的。并行语句的执行是同步进行的,或者说是并行运行的,其执行方式与书写的顺序无关。顺序语句又分两种情况:一种是真正的顺序语句。另一种具有顺序语句与并行语句的双重特性,放在进程、块、子程序之内是顺序语句,被放在进程、块、子程序之外是并行语句。IF语句是一种条件语句,根据语句中所设置的一种或多种条件,有选择地执行指定的顺序语句。条件值是布尔型(TRUE或FALSE)。条件=TRUE,执行THE

2、N后顺序语句,条件全为FALSE时,才会执行ELSE后顺序语句或结束语句ENDIF。一、IF语句IF条件句THEN顺序语句;{ELSIF条件句THEN顺序语句};[ELSE顺序语句];ENDIF;语句结构:根据大括号和方括号部分可选情况,共有三种结构。例1:IF(A>B)THENOUTPUT<=‘1’;ENDIF;说明:若条件(A>B)检测结果为TRUE,则向信号OUTPUT赋值1,否则此信号维持原值。LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYEXAMPLEISPORT(A,B,C:INBOOLEAN;OUTPUT

3、:OUTBOOLEAN);ENDENTITYEXAMPLE;ARCHITECTUREARTOFEXAMPLEISBEGINPROCESS(A,B,C)ISVARIABLEN:BOOLEAN;BEGINIFATHENN:=B;ELSEN:=C;ENDIF;OUTPUT<=N;ENDPROCESS;ENDARCHITECTUREART;CABOUTPUT对应的硬件电路例2:用IF语句描述图示硬件电路。此处的库、程序包使用说明是否必要P1P2BCBASBASAZMUX21MUX21SIGNAA,B,C,P1,P2,Z:BIT;...IF(P1=‘1’)THENZ<=A

4、;--执行条件是(P1=‘1’)ELSIF(P2=‘0’)THENZ<=B;--执行条件是(P1=‘0’)AND(P2=‘0’)ELSEZ<=C;--执行条件是(P1=‘0’)AND(P2=‘1’)ENDIF;例3:由两个2选1多路选择器构成的电路逻辑描述如图所示,其中,当P1和P2为高电平时下端的通道接通。LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYCODERISPORT(SR:INSTD_LOGIC_VECTOR(0TO7);SC:OUTSTD_LOGIC_VECTOR(0TO2));ENDENTITYCODER

5、;ARCHITECTUREARTOFCODERISBEGINPROCESS(SR)ISBEGINIF(SR(7)=‘0’)THENSC<="000";--(SR(7)=‘0’)ELSIF(SR(6)=‘0’)THENSC<="100";--(SR(7)=‘1’)AND(SR(6)=‘0’)ELSIF(SR(5)=‘0’)THENSC<="010";--(SR(7)=‘1’)AND(SR(6)=‘1’)AND(SR(5)=‘0’)例4:ELSIF(SR(4)=‘0’)THENSC<="110";ELSIF(SR(3)=‘0’)THENSC<="001";ELSIF

6、(SR(2)=‘0’)THENSC<="101";ELSIF(SR(1)=‘0’)THENSC<="011";ELSESC<="111";ENDIF;ENDPROCESS;ENDARCHITECTUREART;说明:本例设计的是一个优先级编码器电路,因SR(7)先测试,所以优先级最高。CASE表达式ISWHEN选择值=>顺序语句;WHEN选择值=>顺序语句;…[WHENOTHERS=>顺序语句;]ENDCASE;二、CASE语句符号=>相当于THEN。执行时,首先计算表达式的值,然后将该值与WHEN中的选择值比较,相同执行对应的顺序语句,否则执行OTHERS后的

7、顺序语句或结束语句ENDCASE。语句中的选择值必须列举穷尽,又不能重复。选择值不能列举穷尽时,语句WHENOTHERS=>顺序语句;通常不能缺省。语句结构:例1:用CASE语句描述4选1多路选择器。S1S2CBADCABDS2S1ZLIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYMUX41ISPORT(S1,S2:INSTD_LOGIC;A,B,C,D:INSTD_LOGIC;Z:OUTSTD_LOGIC);ENDENTITYMUX41;ARCHITECTUREARTOFMUX41ISSIGNAS:STD_LOGIC_

8、VECTOR(1DOWN

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。