EDA课程设计拔河游戏机

EDA课程设计拔河游戏机

ID:39543976

大小:432.11 KB

页数:20页

时间:2019-07-05

EDA课程设计拔河游戏机_第1页
EDA课程设计拔河游戏机_第2页
EDA课程设计拔河游戏机_第3页
EDA课程设计拔河游戏机_第4页
EDA课程设计拔河游戏机_第5页
资源描述:

《EDA课程设计拔河游戏机》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、课程设计课程设计名称:EDA课程设计专业班级电科0902学生姓名:学号:指导教师:焦素敏课程设计时间:2012-2-20~2012-3-31设计任务及要求设计任务:用7个发光二极管排列成一行,模拟拔河过程。游戏开始时只有中间的发光二极管点亮,作为拔河的中心线。用按键来模拟拔河队员,按下键表示用力,根据甲乙双方按键的快慢与多少,决定亮点移动的方向。移到任一方终端二极管时,该方获胜,该方记分牌自动加分,然后开始下一局的比赛。比赛采用五局三胜制,甲乙双方各自记分。当记分牌清零后,重新开始下一场拔河比赛。u设置“比赛开始”按键,

2、实现一对一拔河;ul设置复位键,按下后比分清零,双方重新开始比赛;ul一场比赛结束时演奏一首欢快的曲子;ul选做:甲乙双方可选一到多个队员进行比赛或自拟其它功能。设计要求:A.比赛开始键,复位键;B.t=0,中间二极管亮,两个数码管显示零;C.两个按键,通过比较两个按键的频率大小进行相对移动二极管亮点的移动;D.亮点移动到一边后会中间,数码管增分,采取五局三胜制设计;E.一方得分3分后比赛结束,音乐响起。2设计原理及总体框图基本原理:由设计内容可知,需要一个十进制的计数器,用于对双方按钮的次数计数。当led灯移动到一端时

3、,那边的选手得1分,通过比较模块比较两位选手的胜利的得分,并通过译码器显示在数码管上。设计要求用1MHz的频率,而设计用到的是100Hz、5Hz和1Hz的频率,所以要设计一个程序进行分频。显视控制部分设计要求在发光二极管上显示游戏状态,双方每按十次,亮点向先按十次移动一次,对脉冲进行计数,每十次移一位。需接入一个清零端,用于复位。将以上程序组装起来。当两位选手其中一位选手先得到3分时,比赛结束,音乐响起,所以有一个音乐模块。音乐music总体框图:分频器division防抖fangdou计数count比赛compete译

4、码decodePlayer1Player2图1总体框图3程序设计① 总体设计电路图2总体设计图② 模块设计和相应模块程序a.分频器libraryIEEE;useIEEE.std_logic_1164.all;useIEEE.std_logic_unsigned.all;entitydivisionisport(clk:instd_logic;clk_100,clk_4,clk_1:outstd_logic);enddivision;architecturedivision_bodyofdivisionissignalco

5、unt1:integerrange0to4999;--signalcount1:integerrange0to1;signalcount2:integerrange0to124999;signalcount3:integerrange0to49;--signalcount3:integerrange0to1;signalclk1,clk2,clk3:std_logic;begin--得到100HZ的频率process(clk)beginif(clk'eventandclk='1')thenif(count1=4999)t

6、hencount1<=0;clk1<=notclk1;elsecount1<=count1+1;endif;endif;endprocess;--得到4HZ的频率process(clk)begin图3分频器if(clk'eventandclk='1')thenif(count2=124999)thencount2<=0;clk2<=notclk2;elsecount2<=count2+1;endif;endif;endprocess;--得到1HZ的频率process(clk1)beginif(clk1'eventand

7、clk1='1')thenif(count3=49)thencount3<=0;clk3<=notclk3;elsecount3<=count3+1;endif;endif;endprocess;clk_100<=clk1;clk_4<=clk2;clk_1<=clk3;enddivision_body;a.防抖模块libraryIEEE;useIEEE.std_logic_1164.all;useIEEE.std_logic_unsigned.all;entityfangdou_player1isport(clk_10

8、0:instd_logic;player1_b:instd_logic;player1:outstd_logic图4防抖1);endfangdou_player1;architecturefangdou_player1_bodyoffangdou_player1issignalmp1,mp2:std_logic;be

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。