静态时序分析总结

静态时序分析总结

ID:43502867

大小:127.93 KB

页数:39页

时间:2019-10-08

静态时序分析总结_第1页
静态时序分析总结_第2页
静态时序分析总结_第3页
静态时序分析总结_第4页
静态时序分析总结_第5页
资源描述:

《静态时序分析总结》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、StaticTimingAnalyzerUsingPrimeTimeDec232007Presentedbyzhaoicdesign_zhao@126.com2013-3-191AgendaFBasicFlow¢AdvancedAnalysis¢Summary¢Example2013-3-192STAinstruction¢Statictiminganalysisconcept¾Verifiestiming(setup,hold…)¾Isexhaustive¾Usesformal,mathematicaltechniquesinst

2、eadofvectors¾Doesnotusedynamiclogicsimulation¢STA:Easier,fasterdebuggingofyourdesigntiming2013-3-193ComprehensiveTimingChecks¢Manytypesoftiminganddesignrulechecks¾TimingcheckscanbedelaycalculatedorSDFannotated¾Setupandholdcheck¾DesignrulechecksconsistentwithDC,PC,Astro

3、2013-3-194BasicTimingAnalysisFlowUsingPrimeTime¢SetupDesignEnvironment¾Searchpath,linkpath¾Readdesigns,libraries,thenlink¾Readsdfandsetoperationcondition,environmentsetting¢SpecifyTimingAssertions¾Clockperiod/waveform/uncertainty/latency¾Input/outputdelays¢SpecifyTimin

4、gExceptions¾Multi-cyclepathsandFalsepaths¾Min/maxdelays,segmentation,disabledarcs¢PerformAnalysis,CreateReports¾Checktiming¾Timingreportsandconstraintreports¾Bottleneckandcoverageanalysisreports2013-3-195SetUpEnvironment¢Definitionssearch_path:specifieswheretosearchfor

5、designandlibrarydatalink_path:specifieswhichdesignandlibrarydatatobeloadedduringlinking(link_design)link_design:commandresolvesalldesignreferences¢Example:pt_shell>setsearch_path“../syn/lib”pt_shell>setlink_path“*stdcell.db.IO.dbmemory.db”pt_shell>read_verilog$project_

6、dir/top.vpt_shell>current_designtoppt_shell>link_designpt_shell>read_sdf-typesdf_max$sdf_dir/top.sdf¢Designtip1.ThesetupisdifferentwithDCsetup2.Beforenewdesignwasload,removedolddesignandconstraint3.report_annotated_delay:Reportbackannotateddelays4.report_annotated_chec

7、k:Reportbackannotatedtimingchecks2013-3-196Operatingconditionanddesignenvironment¢Settingtheoperatingconditions,wire-loadmodels,input/outputenvironment¢Examplept_shell>set_operating_conditions-librarypt_lib-analysis_typeon_chip_variationworstpt_shell>set_wire_load_mod

8、eenclose(pregate)pt_shell>set_wire_load_modelstd_100k_w(pregate)pt_shell>remove_wire_load_model(postgate)pt_shell>set

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。