《VHDL语言描述数》PPT课件

《VHDL语言描述数》PPT课件

ID:45454459

大小:1.94 MB

页数:135页

时间:2019-11-13

《VHDL语言描述数》PPT课件_第1页
《VHDL语言描述数》PPT课件_第2页
《VHDL语言描述数》PPT课件_第3页
《VHDL语言描述数》PPT课件_第4页
《VHDL语言描述数》PPT课件_第5页
资源描述:

《《VHDL语言描述数》PPT课件》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第十三章VHDL语言描述数字系统本章介绍用VHDL描述硬件电路的一些基本手段和基本方法。13.1VHDL语言的基本结构VHDL语言是美国国防部在20世纪80年代初为实现其高速集成电路计划(VHSIC)而提出的一种超高速集成电路硬件描述语言。VHDL语言的基本结构是对任何电路在用VHDL语言描述时,都应有两部分组成:实体和结构体。实体描述电路的输入、输出关系;结构体描述电路的功能。VHDL语言描述与逻辑电路图的对应关系﹠C=a•b实体结构体abcVHDL模型的基本结构半加器是我们熟悉的器件,输入变量a和b

2、表示两个加数,输出S和CO表示和及进位位。可以用如下逻辑函数式表示半加器:也可以用如表13―1所示的真值表来表示,还可以用如图13―1(a)所示的逻辑图或用如图13―1(b)所示的逻辑符号表示之。图13―1半加器电路符号(a)逻辑图;(b)逻辑符号表13―1半加器真值表除上述三种表达方式外,还可以用VHDL来表达。例1半加器--halfadderLIBRARYIEEE;USEIEEE.STD-LOGIC-1164.ALL;ENTITYhalfadderISPORT(a,b:INSTD-LOGIC;s,

3、co:OUTSTD-LOGIC);ENDhalfadder;ARCHITECTUREhalfOFhalfadderISSIGNALc,d:STD–LOGIC;BEGINc<=aORbd<=aNANDb;co<=NOTd;s<=cANDd;--S=(a+b)·abENDhalf;在VHDL中,一个设计单元被称为一个设计实体。它可以是一个简单的电路(如:一个与门、一个译码器或加法器等),也可以是一个复杂的电路(如:一个微处理器或一个系统)。如本例中的半加器就是一个设计实体。在VHDL中,由关键字、标识符、对

4、象、符号和表达式按VHDL的语法规则构成的句子称为VHDL语句。而能完成一定功能的若干条VHDL语句就组成VHDL程序。关键字(又称保留字)是具有特殊含义的标识符。它是组成VHDL语句最基本的元素之一。用户不能把它作为自己创建的标识符。为了醒目和易于发现错误,在书写VHDL程序时,建议关键字用大写字母或黑体字母,如关键字ENTITY表示实体;而用户自己创建的标识符用小写字母或非黑体字母。一、在VHDL中,任何一个设计实体都至少由实体说明和结构体说明两部分构成。1、实体说明:是一个设计实体的外部视图。它定

5、义实体名称、类属、实体输入/输出端口和一些参数。实体说明以关键字ENTITY开始,以关键字END结束,它的一般格式是:ENTITY实体名IS[GENERIC(类属参数说明);][PORT(端口说明);实体说明部分;[BEGIN实体语句部分;]END实体名;(1)GENERIC后类属表说明用以将信息参数传递到实体。最常用的信息是:器件的上升沿到下降沿的延迟时间、负载电容和电阻、驱动能力以及功耗等。(2)PORT端口表:指明实体的输入、输出信号及其模式。端口模式共有五种,如下所示。方向定义含义IN输入OUT

6、输出INOUT双向BUFFER输出LINKAGE不指定方向,无论哪个方向都可例如:Generic(m:time:=1ns)--指定结构体内m的值为:1ns;tmp:=doandselafterm;--表示do与sel经过ins延迟后送tmp。对于一个VHDL的初学者来说,在学习一般格式时,除要记住语句外,还要记住每行有没有标点符号和有没有用括号;用的是逗号(,)还是分号(;);用的是圆括号()还是方括号[]。如ENTITY实体名IS及BEGIN这两行并没有使用任何标点符号。约定:方括号里的内容为选择项,

7、设计者根据设计要求进行选择。在写程序时方括号要省略。而圆括号及圆括号里的内容为必选项,在写程序时,圆括号要照写。2、结构体:主要用来描述实体的功能或内部操作特性。如果把设计实体比喻为“黑盒子”的话,那么,实体说明只定义了“黑盒子”的输入/输出,而结构体则描述了“黑盒子”内部的详细内容。结构体的一般格式。ARCHITECTURE结构体名OF实体名IS[说明区;]包括:内部信号、常数、数据类型和函数等的定义BEGIN结构体语句部分;END[结构体名];结构体具体地描述了设计实体的逻辑功能或内部电路结构关系,

8、从而建立设计实体输入与输出之间的关系。结构体功能可以用三种方式进行描述,即行为描述、数据流描述、结构描述,另外,还可以采用混合描述。(1)结构体名称的命名。结构体的名称是对本结构的命名,它是该结构体的唯一名称。“OF”后面紧跟的实体名表明了该实体所对应的是哪一个实体。用“IS”来结束结构体的命名。(2)定义语句。位于“ARCHITECTURE”和“BEGIN”之间。用于对结构体内部所使用的信号常数、数据类型和函数等进行定义。(3)并行处理语

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。