基于FPGA的ARINC429总线通讯板设计

基于FPGA的ARINC429总线通讯板设计

ID:46599286

大小:278.92 KB

页数:4页

时间:2019-11-26

基于FPGA的ARINC429总线通讯板设计_第1页
基于FPGA的ARINC429总线通讯板设计_第2页
基于FPGA的ARINC429总线通讯板设计_第3页
基于FPGA的ARINC429总线通讯板设计_第4页
资源描述:

《基于FPGA的ARINC429总线通讯板设计》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、第40卷第4期2010年7月航空计算技术AeronauticalComputingTechniqueV01.40No.4Jul.2010基于FPGA的ARINC429总线通讯板设计张桢,孙沛(中国航空计算技术研究所,陕西西安710068)摘要:ARINCA29是航空电子设备之间数据传输的航空工业标准。提出了一种基于FPGA实现429总线协议的429总线通讯板的设计方案,可有效节省硬件资源,在一块429总线通讯板上实现多路429总线的收发。通过设计和实验,板卡可以正常稳定工作。关键词:ARINC429总线;现场可编程逻辑阵列;

2、多通道中图分类号:TP336文献标识码:A文章编号:1671.654X(2010)04.0112.04引言ARINC429总线是美国航空无线电公司制订的民用航空数字总线传输标准,是一种单向广播式标准的高速差分信号通信总线,其抗于扰能力强,具有技术成熟、简单有效、性能可靠、成本低廉等特性。ARINC429总线在民用和军用航空领域被广泛应用,如波音公司、空客公司的许多先进飞机的航电、供电系统中的机载计算机及导航设备之间信息交联都大量采用了这种主要总线,在我国民用、军用飞机上也被普遍采用。传统的ARINC429总线系统设计大都采用

3、专用芯片的解决方案,但随着机电、航电技术的发展,系统对ARINCA29总线提出了越来越高的要求,传统的低集成度设计,已经不能满足新型机电、航电系统的要求。本文中的设计利用FPGA内部丰富的资源,通过VHDL语言编写多路429协议,并且对每一路收、发通道均配置了大容量FIFO,提高了数据处理能力;同时,可以有效地缩小系统体积,降低成本;采用模块化设计使系统的配置灵活度有很大提高。具体来说,采用HIANULLLOWHIBNULLLOWFPGA技术设计的多通道ARING429通讯板,最显著特点和优势为:集成度高,在一片FPGA芯片

4、上能够集成十几路乃至几十路ARINC429通信发送和接收内核,能处理多路通信通道,大大节省了硬件的资源和面积,降低了系统成本;可靠性高,直接给每个信道挂接大容量的缓存,从硬件能力上避免了总线各通道满负荷数据传输时因CPU不能及时处理导致数据丢失的问题。1ARINC429总线协议简介ARING429是一种点对点的传输协议,总线由两个差分信号线路组成。一条总线上最多可以挂接20个接收设备;一个标准的信号数据包括32bit或25bit的数据位和4bit的间隔位。ARINC429总线线路上的码型为双极性归零码,其编码方式如图1所示。

5、在ARINC429总线中,两条线路的差分信号的逻辑关系有三种:1)当A与B之间的差分电压为7.25一11V时,表示逻辑为“1”或“HI”;2)当A与B之间的差分电压为一0.5~0.5V时,表示“NULL”;3)当A与I10I1Il10I10IlIO10IlIlI图1ARINC429信号编码示例收稿日期:2010—03.19作者简介:张桢(1982一),男,陕西西安人,助理工程师,硕士,研究方向为机载计算机设计与应用。I··I32IBLTNUMB⋯Ⅲ"A"LEGIlI!r]⋯B·LEG⋯LJI⋯llIDATAIVOVOV拈I,

6、ij朽巧2010年7月张桢等:基于FPGA的ARINCA29总线通讯板设计·113·B之间的差分电压为一1l一一7.25V时,表示逻辑“0”或“LOW”。接收线路上的电压取决于线路长度和挂接在总线上的接收器的个数。ARINFA29规范是一种简单的点对点协议,每个总线上只有一个发送器,总线上至少有一个接收器,但每条总线上的接收器数量不能超过20个。总线速率主要有两种:100Kbps和12.5Kbps。总线的线上信号为差分电平,而芯片管脚信号为交错的脉冲信号,包含了串行数据的信息。并行的数据和串行数据相互转换,从低位开始顺序到高

7、位,每个数据位之间有一个空位间隔。完成32位数据转换后再接一个4bit位的结束标志,这是两个ARINC429数据问的间隔。ARINC429协议对数据的传输顺序做出了规定,即最重要的数据位优先传输,因此寄存器数据格式和线上格式有所区别,在传输前在芯片内部需要对数据位格式进行转换。图2ARINC429总线数据传输顺序示意图2基于FPGA的ARINC429接口硬件设计本文所介绍ARINC429接口的设计方案的实现方式为FPGA+外围接口芯片,即ARINC429协议部分及控制、译码逻辑等通过FPGA编写VHDL语言实现,FPGA的型

8、号采用Xilinx公司的xcv600,接收接口控制电路芯片和发送接口控制电路芯片分别采用H18482和HWD3182,总线驱动器采用JSl64244、JSl64245。硬件方案的示意图见图3。如图所示,该硬件方案的核心部分为FPGA的设计,FPGA通过VHDL语言实现两大部分的功能:控制、

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。