VHDL描述风格.ppt

VHDL描述风格.ppt

ID:49381966

大小:582.50 KB

页数:79页

时间:2020-02-04

VHDL描述风格.ppt_第1页
VHDL描述风格.ppt_第2页
VHDL描述风格.ppt_第3页
VHDL描述风格.ppt_第4页
VHDL描述风格.ppt_第5页
资源描述:

《VHDL描述风格.ppt》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、VHDL的描述方式掌握结构体三种不同的描述风格:结构体对基本设计单元的输入输出关系可以有三种描述方式:三种不同的描述风格:行为描述、数据流描述、结构描述三种描述风格三从不同角度对硬件系统进行描述,各自具有什么特点。*行为级描述,也称为算法级描述,它不是对某一个器件的描述,而是对整个设计单元的数学模型描述,所以属于一种高层次描述方式。看个例题吧!!!例:如图所示的全加器,其功能真值表已经给出,写出它的行为描述。全加器xyC_outsumC_in例:基于全加器真值表采用行为描述方式设计的全加器(1位二进制数全加)LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.

2、ALL;ENTITYfull_adderISGENERIC(tpd:TIME:=10ns);PORT(x,y,c_in:INSTD_LOGIC;Sum,c_out:OUTSTD_LOGIC);ENDfull_adder;ARCHITECTUREbehavOFfull_adderISBEGINPROCESS(x,y,c_in)VARIABLEn:INTEGER;CONSTANTsum_vector:STD_LOGIC_VECTOR(0TO3):=“0101”;CONSTANTcarry_vector:STD_LOGIC_VECTOR(0TO3):=“0011”;输入输出c_inx

3、yc_outsum0000000101010010111010001101101101011111BEGINn:=0;IFx=’1’THENn:=n+1;ENDIF;IFy=’1’THENn:=n+1;ENDIF;IFc_in=’1’THENn:=n+1;ENDIF;--(0TO3)sum<=sum_vector(n);--sum_vector初值为“0101”c_out<=carry_vector(n);--carry_vector初值为“0011”ENDPROCESS;--(0TO3)ENDbehav;输入输出c_inxyc_outsum000000010101001011

4、1010001101101101011111对照真值表解释程序行为级描述只描述设计电路的功能或电路的行为,而没有指明或实现这些行为的硬件结构;或者说行为级描述只表示输入输出之间的转换行为,它不包含任何结构信息。行为级描述通常指顺序语句描述,即含有进程的非结构化的逻辑描述。行为级描述的设计模型定义了系统的行为,通常由一个或多个进程构成,每一个进程又包含了一系列的顺序语句。由例可以看到,采用行为级描述方式的程序不是从设计实体的电路组织和门级实现来完成设计,而是着重设计正确的实体行为、准确的函数模型和精确的输出结果。采用行为级描述方式的VHDL语言程序,在一般情况下只能用于行为层次的

5、仿真,而不能进行逻辑综合。只有将行为级描述方式改写为数据流级描述方式,才能进行逻辑综合。随着设计技术的发展,一些EDA软件能够自动完成行为综合,如Synopsys的BehavioralComplier,从而可以把行为级描述转换为数据流级描述方式。数据流描述数据流描述也叫RTL的描述方式,采用寄存器硬件一一对应的直接描述,或者采用寄存器之间的功能描述。RTL描述方式建立在并行信号赋值语句描述的基础上,描述数据流的运动路径、运动方向和运动结果。RTL描述方式是真正可以进行逻辑综合的描述方式。RTL描述方式既可描述时序电路,又可描述组合电路。*数据流描述方式对于全加器,用布尔方程描述

6、其逻辑功能如下:s=xXORysum=sXORc_inc_out=(xANDy)OR(sANDc_in)下面是基于上述布尔方程的数据流风格的描述:数据流描述方式能比较直观地表述底层逻辑行为。输入输出c_inxyc_outsum0000000101010010111010001101101101011111一位全加器的数据流描述。LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYADDER1BISPORT(A,B,C_IN:INBIT;SUM,C_OUT:OUTBIT);ENDADDER1B;ARCHITECTUREARTOFADDER1B

7、ISSUM<=AXORBXORC_IN;C_OUT<=(AANDB)OR(AANDC_IN)OR(BANDC_IN);ENDART;底层逻辑行为结构级描述方式结构描述方式是描述该设计单元的硬件结构,即该硬件是如何构成的。在多层次的设计中,常采用结构描述方式在高层次的设计模块中调用低层次的设计模块,或者直接用门电路设计单元构造一个复杂的逻辑电路。编写结构描述程序可模仿逻辑图的绘制方法。结构描述方式通常采用元件例化语句和生成语句编写程序。编写结构描述程序的主要步骤:1)绘制框图。先确定当前设计单

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。