VHDL各种D触发器程序.doc

VHDL各种D触发器程序.doc

ID:50138980

大小:52.88 KB

页数:6页

时间:2020-03-04

VHDL各种D触发器程序.doc_第1页
VHDL各种D触发器程序.doc_第2页
VHDL各种D触发器程序.doc_第3页
VHDL各种D触发器程序.doc_第4页
VHDL各种D触发器程序.doc_第5页
资源描述:

《VHDL各种D触发器程序.doc》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、第一题:普通触发器LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYDchuISPORT(CLK,D:INSTD_LOGIC;Q:OUTSTD_LOGIC);END;ARCHITECTUREFFQOFDchuISSIGNALQ1:STD_LOGIC;BEGINPROCESS(CLK,Q1)BEGINIFCLK'EVENTANDCLK='1'THENQ1<=D;ENDIF;ENDPROCESS;Q<=Q1;ENDFFQ;第二题:异步清零触发器LIBRARYIE

2、EE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYDchuISPORT(CLK,D:INSTD_LOGIC;Q:OUTSTD_LOGIC;ACLK:INSTD_LOGIC);END;ARCHITECTUREFFQOFDchuISSIGNALQ1:STD_LOGIC;BEGINPROCESS(ACLK,CLK,Q1)BEGINIFACLK='1'THENQ1<='0';ELSIFCLK'EVENTANDCLK='1'THENQ1<=D;ENDIF;ENDPROCESS;Q<=Q

3、1;ENDFFQ;第三题:同步清零触发器LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYDchuISPORT(CLK,D:INSTD_LOGIC;Q:OUTSTD_LOGIC;SCLK:INSTD_LOGIC);END;ARCHITECTUREFFQOFDchuISSIGNALQ1:STD_LOGIC;BEGINPROCESS(SCLK,CLK,Q1)BEGINIFCLK'EVENTANDCLK='1'THENIFSCLK='1'THENQ1<='0';E

4、LSEQ1<=D;ENDIF;ENDIF;ENDPROCESS;Q<=Q1;ENDFFQ;第四题:异步置位apreLIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYDchuISPORT(CLK:INSTD_LOGIC;D:INSTD_LOGIC;Q:OUTSTD_LOGIC;APRE:INSTD_LOGIC);END;ARCHITECTUREFFQOFDchuISSIGNALQ1:STD_LOGIC;BEGINPROCESS(APRE,CLK,Q1)BEGI

5、NIFAPRE='1'THENQ1<='1';ELSIFCLK'EVENTANDCLK='1'THENQ1<=D;ENDIF;ENDPROCESS;Q<=Q1;ENDFFQ;第五题:同步置位spreLIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYDchuISPORT(CLK:INSTD_LOGIC;D:INSTD_LOGIC;Q:OUTSTD_LOGIC;SPRE:INSTD_LOGIC);END;ARCHITECTUREFFQOFDchuISSIGNAL

6、Q1:STD_LOGIC;BEGINPROCESS(SPRE,CLK,Q1)BEGINIFCLK'EVENTANDCLK='1'THENIFSPRE='1'THENQ1<='1';ELSEQ1<=D;ENDIF;ENDIF;ENDPROCESS;Q<=Q1;ENDFFQ;第六题:异步清零,异步置位LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYDchuISPORT(CLK:INSTD_LOGIC;ACLR:INSTD_LOGIC;APRE:INSTD_LO

7、GIC;D:INSTD_LOGIC;Q:OUTSTD_LOGIC);END;ARCHITECTUREFFQOFDchuISSIGNALQ1:STD_LOGIC;BEGINPROCESS(APRE,ACLR,CLK,Q1)BEGINIFACLR='1'THENQ1<='0';ELSIFAPRE='1'THENQ1<='1';ELSIFCLK'EVENTANDCLK='1'THENQ1<=D;ENDIF;ENDPROCESS;Q<=Q1;ENDFFQ;第七题:同步使能LIBRARYIEEE;USEIE

8、EE.STD_LOGIC_1164.ALL;ENTITYDchuISPORT(CLK:INSTD_LOGIC;D:INSTD_LOGIC;Q:OUTSTD_LOGIC;EN:INSTD_LOGIC);END;ARCHITECTUREFFQOFDchuISSIGNALQ1:STD_LOGIC;BEGINPROCESS(EN,CLK,Q1)BEGINIFCLK'EVENTANDCLK='1'THENIFEN='1'THENQ1<=D;ENDIF;ENDIF;ENDPROCESS;Q<=

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。