各种触发器的VHDL程序.doc

各种触发器的VHDL程序.doc

ID:55982683

大小:26.50 KB

页数:4页

时间:2020-06-18

各种触发器的VHDL程序.doc_第1页
各种触发器的VHDL程序.doc_第2页
各种触发器的VHDL程序.doc_第3页
各种触发器的VHDL程序.doc_第4页
资源描述:

《各种触发器的VHDL程序.doc》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、题目:写出带异步复位边沿(下降沿)JK触发器的VHDL程序:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYjk1isPORT(clk,R,S:INSTD_LOGIC;j,k:INSTD_LOGIC;q,qn:OUTSTD_LOGIC);ENDjk1;ARCHITECTUREoneOFjk1ISSIGNALq_s:STD_LOGIC;BEGINPROCESS(R,S,clk,j,k)BEGINIF(R='1'ANDS='0')THENq_s<='0';ELSIF(R='0'ANDS='1')THE

2、Nq_s<='1';ELSIFclk'EVENTANDclk='0'THENIF(J='0'ANDk='0')THENq_s<=q_s;ELSIF(J='0'ANDk='1')THENq_s<='0';ELSIF(J='1'ANDk='0')THENq_s<='1';ELSIF(J='1'ANDk='1')THENq_s<=NOTq_s;ENDIF;ENDIF;ENDPROCESS;q<=q_s;qn<=notq_s;ENDone;基本RS触发器entityrsffisport(r,s:instd_logic;q,qb:outstd_lo

3、gic);endrsff;architecturertlofrsffissignalq_temp,qb_temp:std_logic;beginprocess(r,s)beginif(s='1'andr='0')thenq_temp<='1';qb_temp<='0';elsif(s='0'andr='1')thenq_temp<='0';qb_temp<='1';elseq_temp<=q_temp;qb_temp<=qb_temp;endif;endprocess;q<=q_temp;qb<=qb_temp;endrtl;.同步RS触

4、发器libraryieee;useieee.std_logic_1164.all;entitysynrsffisport(clk,r,s:instd_logic;q,qb:outstd_logic);endsynrsff;architecturertlofsynrsffissignalq_temp,qb_temp:std_logic;beginprocess(clk,r,s)beginif(clk='1')thenif(s='1'andr='0')thenq_temp<='1';qb_temp<='0';elsif(s='0'andr='

5、1')thenq_temp<='0';qb_temp<='1';elseq_temp<=q_temp;qb_temp<=qb_temp;endif;elseq_temp<=q_temp;qb_temp<=qb_temp;endif;endprocess;q<=q_temp;qb<=qb_temp;endrtl;.同步D触发器libraryieee;useieee.std_logic_1164.all;entitysyndisport(clk,d:instd_logic;q,qb:outstd_logic);endsynd;architec

6、turertlofsyndissignalq_temp,qb_temp:std_logic;beginprocess(clk)beginif(clk='1')thenq_temp<=d;qb_temp<=notd;elseq_temp<=q_temp;qb_temp<=qb_temp;endif;endprocess;q<=q_temp;qb<=qb_temp;endrtl;带异步置位复位边沿(上升沿)D触发器libraryieee;useieee.std_logic_1164.all;entityadffisport(clk,d,r,s

7、:instd_logic;q,qb:outstd_logic);endadff;architecturertlofadffissignalq_temp,qb_temp:std_logic;beginprocess(clk,r,s)beginif(r='0'ands='1')thenq_temp<='1';qb_temp<='0';elsif(r='1'ands='0')thenq_temp<='0';qb_temp<='1';elsif(clk'eventandclk='1')thenq_temp<=d;qb_temp<=notd;end

8、if;endprocess;q<=q_temp;qb<=qb_temp;endrtl;

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。