VHDL各种计数器程序.doc

VHDL各种计数器程序.doc

ID:50411798

大小:219.50 KB

页数:8页

时间:2020-03-05

VHDL各种计数器程序.doc_第1页
VHDL各种计数器程序.doc_第2页
VHDL各种计数器程序.doc_第3页
VHDL各种计数器程序.doc_第4页
VHDL各种计数器程序.doc_第5页
资源描述:

《VHDL各种计数器程序.doc》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、.1.具有CLK,Q端口的简单加法计数器,要程序和最后的RTL图;LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYCNT4ISPORT(CLK:INSTD_LOGIC;Q:OUTINTEGERRANGE15DOWNTO0);END;ARCHITECTUREbehavOFCNT4ISSIGNALD,Q1:INTEGERRANGE15DOWNTO0;BEGINPROCESS(CLK)BEGINIFCLK'EVENT

2、ANDCLK='1'THENQ1<=D;ENDIF;ENDPROCESS;D<=Q1+1;Q<=Q1;ENDbehav;2.具有异步清零aclr,CLK,Q端口的加法计数器,要程序和最后的RTL图;LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYCNT4ISPORT(CLK,ACLR:INSTD_LOGIC;Q:OUTSTD_LOGIC_VECTOR(15DOWNTO0));END;ARCHITECTUREbe

3、havOFCNT4ISSIGNALQ1:STD_LOGIC_VECTOR(15DOWNTO0);BEGINPROCESS(CLK,ACLR)Word文档.BEGINIFACLR='0'THENQ1<=(OTHERS=>'0');ELSIFCLK'EVENTANDCLK='1'THENQ1<=Q1+1;ENDIF;ENDPROCESS;Q<=Q1;ENDbehav;3.具有同步清零sclr,CLK,Q端口的加法计数器,要程序和最后的RTL图;LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.AL

4、L;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYCNT4ISPORT(CLK,SCLR:INSTD_LOGIC;Q:OUTSTD_LOGIC_VECTOR(15DOWNTO0));END;ARCHITECTUREbehavOFCNT4ISSIGNALQ1:STD_LOGIC_VECTOR(15DOWNTO0);BEGINPROCESS(CLK,SCLR)BEGINIFCLK'EVENTANDCLK='1'THENIFSCLR='1'THENQ1<=(OTHERS=>'0');ELSE

5、Q1<=Q1+1;ENDIF;ENDIF;Word文档.ENDPROCESS;Q<=Q1;ENDbehav;4.具有异步置位apre,CLK,Q端口的加法计数器,要程序和最后的RTL图;LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYCNT4ISPORT(CLK:INSTD_LOGIC;APRE:INSTD_LOGIC;Q:OUTSTD_LOGIC_VECTOR(3DOWNTO0));END;ARCHITECT

6、UREbehavOFCNT4ISSIGNALQ1:STD_LOGIC_VECTOR(3DOWNTO0);BEGINPROCESS(CLK,APRE)BEGINIFAPRE='1'THENQ1<="0001";ELSIFCLK'EVENTANDCLK='1'THENQ1<=Q1+1;ENDIF;ENDPROCESS;Q<=Q1;ENDbehav;Word文档.5.具有同步置位spre,CLK,Q端口的加法计数器,要程序和最后的RTL图;LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;U

7、SEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYCNT4ISPORT(CLK:INSTD_LOGIC;SPRE:INSTD_LOGIC;Q:OUTSTD_LOGIC_VECTOR(3DOWNTO0));END;ARCHITECTUREbehavOFCNT4ISSIGNALQ1:STD_LOGIC_VECTOR(3DOWNTO0);BEGINPROCESS(CLK,SPRE)BEGINIFCLK'EVENTANDCLK='1'THENIFSPRE='1'THENQ1<="0001";ELSE

8、Q1<=Q1+1;ENDIF;ENDIF;ENDPROCESS;Q<=Q1;ENDbehav;Word文档.6.具有异步清零aclr,异步置位apre,CLK,Q端口的加法计数器,要程序和最后的RTL图;LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYCNT4ISPOR

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。