可编程逻辑设计(EDA).doc

可编程逻辑设计(EDA).doc

ID:50714892

大小:310.50 KB

页数:22页

时间:2020-03-07

可编程逻辑设计(EDA).doc_第1页
可编程逻辑设计(EDA).doc_第2页
可编程逻辑设计(EDA).doc_第3页
可编程逻辑设计(EDA).doc_第4页
可编程逻辑设计(EDA).doc_第5页
资源描述:

《可编程逻辑设计(EDA).doc》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、可编程逻辑器件目录实验一基于QUARTUSII1位全加器原理图设计…………………………………3实验二多路选择器设计……………………………………………………………………7实验三基本触发器的设计………………………………………………………………10实验四八位七段数码管动态显示电路的设计……………………………………13实验五数控分频器的设计………………………………………………………………16实验六基于VHDL的表决器的设计……………………………………………………18实验七设计含异步清0和同步时钟使能的十进制加法计数器…

2、…实验一基于QUARTUSII的全加器的设计一、实验目的1、通过一位的全加器的设计,掌握组合逻辑电路的设计方法。2、初步了解QUARTUSII原理图输入设计的全过程。3、掌握组合逻辑电路的静态测试方法。二、实验原理全加器除考虑两个加数外,还考虑了低位的进位。输入端有3个,分别为加数、被加数与低位进位;输入端有2个,分别为和与进位。其真值表如表1-1所示AiBiCiSiC0000000110010100110110010101011100111111表1-11位全加器的真值表三、实验内容在本实验中,用三个按键开关

3、来表示1位全加器的三个输入(Ai、Bi、Ci);用二个LED来表示1位全加器的二个输出(Si,C)。通过输入不同的值来观察输入的结果与1位全加器的真值表(表1-1)是否一致。该实验箱属于多种复用实验箱,可通过模式选择进行控制,不同的模式,如果同个按键,可能功能不一样,所以每次实验必须先预设模式。“模式选择键”:按动该键能使实验板产生12种不同的实验电路结构。本次实验的模式选择到“6”(红色数码管上显示)。信号名称实验箱上对应的元器件对应FPGA管脚名Ai键311Bi键432Ci键533表1-2按键开关与FPGA

4、管脚连接表LED灯与FPGA的接口电路如图1-1所示,当FPGA与其对应的端口为高电平时LED就会发光,反之LED灯灭。其与FPGA对应的管脚连接如表1-3所示。图1-1LED灯与FPGA接口电路信号名称实验箱上对应的元器件对应FPGA管脚名SiLEDD139CLEDD240表1-3LED灯与FPGA管脚连接表四、实验步骤1、打开QUARTUSII软件,新建一个工程。2、在创建好设计工程后,选择File>NEW…菜单,出现图1-9所示的新建设计文件类型选择窗口。这里我们以建立图形设计文件为例进行说明,其它设计输

5、入方法与之基本相同图2-2新建设计文件选择窗口2)在New对话框(图1-2)中选择DeviceDesignFiles页下的BlockDiagram/SchematicFile,点击OK按钮,打开图形编辑器对话框,如图2-3所示。图中标明了常用的每个按钮的功能。图1-2原理图编辑窗口1、按照实验原理和自己的想法,在原理图编辑窗口绘制原理图。2、编写完原理图后,保存起来。3、对自己编写的原理图进行编译并仿真,对程序的错误进行修改。4、编译仿真无误后,依照按键开关、LED与FPGA的管脚连接表(表1-1、表1-2)或

6、参照附录进行管脚分配,表2-1是示例程序的管脚分配表。分配完成后,再进行全编译一次,以使管脚分配生效。5、用下载电缆通过JTAG口将对应的sof文件加载到FPGA中。观察实验结果是否与自己的编程思想一致。五、实验现象与结果当设计文件加载到目标器件后,按按键开关,LED会按照实验原理中的真值表输入一一对应的亮或者灭。六、实验报告1、绘出仿真波形,并作说明。2、进一步熟悉QUARTUSII软件。3、将实验原理、设计过程、编译仿真波形和分析结果、硬件测试结果记录下来。实验二多路选择器的设计一、实验目的1、进一步熟悉Q

7、UARTUSII软件的使用方法和VHDL输入的全过程。2、进一步掌握实验系统的使用。二、实验原理四选一多路选择器的原理如下图及下表,由Sl,S0来选择d0,dl,d2,d3的信号,并使其能在Q上输出。S1S0Q00d001d110d211d3三、实验内容1、用VHDL语言的不同语句分别描述任务选择器,并通过编译仿真比较不同语句描述的区别。2、通过仿真下载并通过硬件验证实验结果。四、实验步骤1、打开QUARTUSII软件,新建一个工程。2、建完工程之后,再新建一个VHDLFile。新建一个VHDL文件的过程如下:

8、1)选择QUARTUSII软件中的File>New命令,出现New对话框。如图2-2所示。图2-1新建设计文件选择窗口2)在New对话框(图2-1)中选择DeviceDesignFiles页下的VHDLFile,点击OK按钮,打开VHDL编辑器对话框,如图2-2所示。图2-2VHDL编辑窗口1、按照实验原理和自己的想法,在VHDL编辑窗口编写VHDL程序,用户可参照光盘中提供的示例程序

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。