电子设计自动化技术实验讲义.doc

电子设计自动化技术实验讲义.doc

ID:51405681

大小:207.00 KB

页数:14页

时间:2020-03-23

电子设计自动化技术实验讲义.doc_第1页
电子设计自动化技术实验讲义.doc_第2页
电子设计自动化技术实验讲义.doc_第3页
电子设计自动化技术实验讲义.doc_第4页
电子设计自动化技术实验讲义.doc_第5页
资源描述:

《电子设计自动化技术实验讲义.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、目录实验一熟悉QuartusII的图形输入法实验二熟悉QuartusII的VHDL语言描述输入法实验三JK触发器的设计实验四6位双向移位寄存器设计实验五电子钟的VHDL程序设计实验六7段数码显示译码器设计实验七预置分频器设计实验八交通灯控制器实验上机前的注意事项1.实验前应做好的实验准备包括:.了解实验目的、实验内容和要求.准备好实验电路和逻辑输入源文件.认真思考验证设计和进行波形仿真.思考实验步骤及每步应得到的结果2.实验中细致认真并认真做好实验记录.试验中要独立思考,有问题可以讨论,但要独立完成实验任务.记录中间结果、及时做好源文件的拷贝.实验

2、结果要以仿真结果来说明3.实验报告要求(1)、实验目的(2)、实验电路图(或输入源文件)(3)、仿真结果(波形图应体现你的结论或论点)(4)、对思考题的理解或验证(5)、实验收获和体会(只写体会最深的)注意:除了熟悉QuartusII之外,在上机实验时,应做好实验准备(实验内容,电路、源文件,以及实验目的),否则教师有权停止其上机实验。禁止在上机时打游戏、实验报告互相抄袭,由于上机实验有限,请同学利用课余时间主动完成实验内容。有条件的同学可以在自己的计算机上完成所有的实验设计任务实验一熟悉QuartusII的图形输入法1、实验目的:掌握Quartu

3、sII的使用方法(1)熟悉图形输入法(2)理解编译方法(3)了解定时仿真2、实验内容(1)设计一个二选一数据选择器、全加法器。(2)根据图形输入法编译和波形仿真3、实验要求(1)熟悉图形逻辑输入法(2)理解编译方法;了解功能仿真的方法和定时仿真的方法(3)了解把逻辑变成一个逻辑符号(Symbol)的方法。(4)把自己认为好的实验结果写成实验报告。(要计成绩)4、实验步骤略5、模块内部电路图实验二熟悉QuartusII的VHDL语言描述输入法1实验目的:(1)VHDL语言描述输入法(2)理解编译方法(3)熟悉波形仿真2、实验内容(1)设计一个4位并行

4、奇校验发生器(2)根据VHDL语言描述输入法编译和波形仿真3、实验要求(1)熟悉VHDL语言描述输入法(2)理解编译方法;了解功能仿真的方法和定时仿真的方法(3)了解把逻辑功能变成一个逻辑符号(Symbol)的方法。(4)把自己认为好的实验结果写成实验报告。(要计成绩)4、实验步骤略5、源程序4位并行奇校验发生器源程序:libraryieee;useieee.std_logic_1164.all;entityparity_loopisport(a:instd_logic_vector(0to2);b:instd_logic;y:outstd_log

5、ic);endparity_loop;architectureaofparity_loopissignals:std_logic_vector(0to3);beginprocess(a)begins(0)<=b;foriin0to2loops(i+1)<=s(i)xora(i);endloop;y<=s(3);endprocess;enda;实验三JK触发器的设计1实验目的:掌握QuartusII的VHDL语言描述输入法(1)掌握VHDL语言描述输入法(2)掌握VHDL语言2、实验内容(1)设计一个JK触发器(2)根据VHDL语言描述输入法编译和波

6、形仿真。3、实验要求(1)熟悉VHDL语言描述输入法(2)设计1位带异步复位/置位功能的JK触发器VHDL源程序(低电平有效)(3)用QuartusII软件编译和波形仿真(4)把自己认为好的实验结果写成实验报告。(要计成绩)输入输出prnclrclkJKQQb01xxx1010xxx0100xxxxx11上升沿00不变不变11上升沿010111上升沿101011上升沿11翻转翻转其中预置端prn复位端clr时钟端clk4、实验步骤略实验四6位双向移位寄存器的设计1实验目的:掌握QuartusII的VHDL语言描述输入法(1)掌握VHDL语言描述输入

7、法(2)掌握VHDL语言(3)理解if语句进行描述计数器。2、实验内容(1)设计一个6位双向移位寄存器(2)根据VHDL语言描述输入法编译和波形仿真。3、实验要求(1)熟悉VHDL语言描述输入法(2)设计一个移位寄存器的VHDL程序,既能从高位向低位移动,又能从低位向高位移动。端口说明:预置数据输入端:predata脉冲输入端:clk移位寄存器输出端:dout工作模式控制端:M1,M0左移串行数据输入:ds1右移串行数据输入(低位向高位):dsr寄存器复位端:reset(3)用QuartusII软件编译和波形仿真(4)把自己认为好的实验结果写成实验

8、报告。(要计成绩)(5)工作模式控制表:M1M0模式00保持01右移10左移11预加载4、实验步骤略实验五电子钟的VHDL

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。