电子设计自动化技术实验.doc

电子设计自动化技术实验.doc

ID:55780610

大小:5.24 MB

页数:38页

时间:2020-06-07

电子设计自动化技术实验.doc_第1页
电子设计自动化技术实验.doc_第2页
电子设计自动化技术实验.doc_第3页
电子设计自动化技术实验.doc_第4页
电子设计自动化技术实验.doc_第5页
资源描述:

《电子设计自动化技术实验.doc》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、电子设计自动化技术实验实验一熟悉Quartusll的图形输入法1、实验目的掌握Quartusll的使用方法(1)熟悉图形输入法(2)理解编译方法(3)了解定时仿真2、实验内容(1)设计一个二选一数据选择器,全加器(2)根据图形输入法编译和波形仿真3、实验要求(1)熟悉图形逻辑输入法(2)理解编译方法,了解功能仿真的方法和定时仿真的方法(3)了解把逻辑变成一个逻辑符号的方法4、实验步骤(1)建立设计项目在Quartusll管理器窗口中选择菜单fileewprojectwizard…,出现新建项目向导newpro

2、jectwizard对话框的第一页,在对话框中输入项目路径,项目名称和顶层实体文件名mux21-3新建项目向导第二页,单击按钮”…”,可浏览文件选项,添加或删除与该项目有关的文件,然后next新建项目向导第三页,根据器件的封装形式,引脚数量和速度级别选择目标器件,选择cyclone,即系列中的EPLC6Q24C8芯片,然后单击next新建项目向导第四页,添加第三方EDA综合,仿真,定时等分析工具,系统默认选项Quartusll的分析工具在新建项目向导对话框的最后一页,给出前面输入内容的总览,单击finish按钮,

3、mux21-3项目出现在项目导航窗口2、输入文本文件新建VHDL文本文件,在Quartusll管理器界面中选择菜单fileew…,或单击新建文件按钮,出现new对话框,在DesignFile…中选择VHDLFile,单击ok按钮,打开文本编辑器,在文本编辑器窗口下,按照VHDL语言规则输入设计文件,并将其保存输入二选一数据选择器文件为Libraryieee;Useieee.std_logic_1164.all;Entitymux21_3isPort(i0,i1,sel:instd_logic;y:outstd

4、_logic);Endmux21_3;Architectureverlofmux21_3isBeginWithselselety<=i0when’0’;i1when’1’;‘X’whenothers;Endverl;3、执行编译设置顶层文件:首先打开准备进行编译的mux21-3.vhd,执行菜单命令project/setasTOP_levelEtity,下面进行设计处理的各项操作就是针对顶层文件mux21-3进行的。执行编译:选择菜单projectstartcompilation,或直接单击工具栏的编译快捷按钮

5、,开始执行编译操作,如果编译有错误,需要重新修改设计,重新进行编译4、波形仿真(1)新建波形文件选择菜单fileew…,在new对话框中选择VerficationDebuggingFilevectorwaveformfile,出现波形编辑窗口,将文件保存为mux21-3.vwf(2)设置仿真器鼠标右键单击项目名选择Setting或者直接选择菜单命令Assignmentssetting…,在settings对话框的Category栏目下选择SimulatorSettings,在右侧出现的对话框中设置仿真器

6、,选择Functional(1)插入仿真节点在波形编辑窗口的name栏目下,单击鼠标右键,选择InsertInsertnodeorbus…,出现Insertnodeorbus的对话框,查找节点信息,插入节点选择合理的筛选范围,单击list,列出所选节点信号,接着在nodesfound栏目下选择波形仿真需要观察的节点信号,然后单击送入选中按钮,在selectednodes栏目下,列出选中节点,全部节点选择完成后,按ok确认5、编辑输入波形选择菜单EditEndTime…,根据需要修改最大仿真时间选择菜单Edit

7、Gridsize…,根据需要修改网格大小6、运行仿真器选择菜单Projectingstartsimulation或者单击工具栏中的仿真快捷键按钮运行仿真程序仿真得到的图形如下原理图文件输入1、建立原理图文件选择菜单fileew…在出现的对话框中选择DesignFileBlockDiagramSchematicFile,则打开图形编辑器,出现空白的原理图文件,选择菜单fileSaveas…,输入文件名,保存该文件2、使用模块符号库图形编辑器的左侧是输入按钮,最常用的是模块符号组,单击模块符号按钮,打开

8、symbol对话框,在对话框中,输入各种逻辑电路符号,绘制电路图如下对该电路图进行仿真,仿真图形如下实验二熟悉Quarstusll的VHDL语言描述输入法一、实验目的(1)VHDL语言描述输入法(2)理解编译方法(3)熟悉波形仿真1、实验内容(1)设计一个4位并行奇校验发生器(2)根据VHDL语言描述输入法编译和波形的仿真2、实验要求(1)、熟悉VHDL语言描述输入法(2

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。