基于DSP和FPGA的HDLC协议通讯电路设计.pdf

基于DSP和FPGA的HDLC协议通讯电路设计.pdf

ID:52741668

大小:356.48 KB

页数:3页

时间:2020-03-30

基于DSP和FPGA的HDLC协议通讯电路设计.pdf_第1页
基于DSP和FPGA的HDLC协议通讯电路设计.pdf_第2页
基于DSP和FPGA的HDLC协议通讯电路设计.pdf_第3页
资源描述:

《基于DSP和FPGA的HDLC协议通讯电路设计.pdf》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、电子测量技术第32卷第6期可编程器件应用ELECTRONICMEASUREMENTTECHNOLOGY2009年6月基于DSP和FPGA的HDLC协议通讯电路设计121刘岩俊闫海霞魏仲慧(1.中国科学院长春光学精密机械与物理研究所长春130033;2.吉林大学电子学院长春130012)摘要:为了实现高速HDLC通讯协议,设计了DSP+FPGA结构的485通讯接口,接口包括DSP、FPGA、485转换等硬件电路,以及DSP与FPGA之间的数据交换程序和FPGA内部状态机;其中DSP用于实现数据控制,FPGA用于实现HDL

2、C通讯协议,DSP与FPGA之间采用XINTF方式,通过双FIFO缓存进行数据交换。通过PCI接口连接PC机对系统进行测试,测试结果表明,系统通讯速度为1Mbps,并且工作稳定。关键词:HDLC;RS485;FPGA;DSP中图分类号:TN409文献标识码:ADesignofHDLCprotocolcommunicationcircuitbasedonDSPandFPGA121LiuYanjunYanHaixiaWeiZhonghui(1.ChangchunInstituteofOptics,FineMechanics

3、andPhysics,theChineseAcademyofSciences,Changchun130033;2.JiLinUniversityElectronicDepartment,Changchun130012)Abstract:InordertorealizethehighspeedHDLCcommunicationprotocol,a485interfacewithDSP+FPGAisdesigned,theinterfaceincludesthehardwarecircuitofDSP,FPGA,485co

4、nversion,theprogramofdataexchange,andstatemachine.DSPcontrolthecommunicationdata,FPGAimplementtheHDLCprotocol,TheFPGAandDSPexchangedatawithtwoFIFOcachebyXINTFinterface.ThesystemistestedbyPCwithPCIinterface,experimentsresultsshowthattheinterfaceworksstablewith1Mb

5、psspeed.Keywords:HDLC;RS485;FPGA;DSP由于DSP处理器不能够直接按HDLC协议编解码,0引言所以必须使用FPGA实现HDLC协议的编解码工作,然后高级数据链路控制HDLC是一种面向比特的链路层FPGA将数据与DSP进行交换。本文使用FPGA实现了协议,其最大特点是不需要数据必须是规定字符集,对任HDLC协议,并且使用RS485的全双工工作模式,实现何一种比特流,均可以实现透明的传输。只要数据流中不1Mbps串行通讯,提高系统的工作效率。存在同标志字段FLAG相同的数据就不至于引起帧边界

6、的错误判断。万一出现同边界标志字段FLAG相同的数1HDLC协议简介据,即数据流中出现6个连1的情况,需要通过零比特填充HDLC协议中每个帧前、后均有一标志码01111110法解决。(FLAG),用作帧的起始、终止指示及帧的同步。标志码不RS485是典型的串行通讯标准与RS232通讯协议相允许在帧的内部出现,以免引起歧义。为保证标志码的唯比,RS485具有如下特点:最大传输距离标准值为4000英一性但又兼顾帧内数据的透明性,采用“0比特插入法”来尺,实际上可达3000m;RS2485接口在总线上允许连接多解决。该法在发

7、送端监视除标志码以外的所有字段,当发达128个收发器,即具有多站能力,这样用户可以利用单现有连续5个“1”出现时,便在其后添插一个“0”,然后继续一的RS2485接口方便地建立起设备网络;RS2485的数据发后继的比特流。在接收端,同样监测起始标志码以外的最高传输速率为10Mbps,接口采用平衡驱动器和差分接所有字段。当连续发现5个“1”出现后,若其后一个比特收器组合,抗共模干能力增强,抗噪声干扰性好。因为RS2“0”则自动删除它,以恢复原来的比特流;若发现连续6个485接口具有良好的抗噪声干扰性,长的传输距离和多站“

8、1”,则可能是插入的“0”发生差错变成的“1”,也可能是收能力等上述优点就使其成为首选的串行接口。到了帧的终止标志码。后两种情况,可以进一步通过帧中·137·第32卷电子测量技术的帧检验序列来加以区分。(XHOLD)、外部保应答(XHOLDA)、外部准备后信号[7,8]作为面向比特的数据链路控制协议的典型HDLC具(XREADY)

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。