基于FPGA的HDLC协议的设计与实现.pdf

基于FPGA的HDLC协议的设计与实现.pdf

ID:52953775

大小:452.73 KB

页数:4页

时间:2020-04-03

基于FPGA的HDLC协议的设计与实现.pdf_第1页
基于FPGA的HDLC协议的设计与实现.pdf_第2页
基于FPGA的HDLC协议的设计与实现.pdf_第3页
基于FPGA的HDLC协议的设计与实现.pdf_第4页
资源描述:

《基于FPGA的HDLC协议的设计与实现.pdf》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、第32卷第3期电子器件Vol.32No.32009年6月ChineseJournalOfElectronDevicesJun.2009DesignandImplementationofHDLCProceduresBasedonFPGA3ZHANGWenhao,WANGJun,YUPengfei,WUWei(SchoolofElectronicandInformationEngineering,BeihangUniversity,Beijing100191,China)Abstract:High2levelData

2、LinkControl(HDLC)proceduresareoneofthemostimportantprotocolsindig2italcommunications.AnalyzingthecommonmethodsofHDLCimplementation,wepointouttheirde2fects.AnewhardwareprocessingmethodbasedonFPGAprogrammingispresented,andtheapproachofFrameCheckSequenceimplemen

3、tationofHDLC———CycleRedundancyCheck(CRC)isalsoillustrated.DownloadingthemodulesintoFPGA,wedebuggedsuccessfully,whichshowsthefeasibilityofthisdesignmethod.Meanwhile,thesimpleprogramandeasymodificationmakethemodulesveryascendantinpracti2calapplication.Keywords:

4、HDLCprocedures;FPGA;CRC;linearfeedbackshiftregister(LFSR)EEACC:6150M;1265基于FPGA的HDLC协议的设计与实现3张文昊,王俊,于鹏飞,武伟(北京航空航天大学电子信息工程学院,北京100191)摘要:高级数据链路控制(HDLC)协议是数字通信中的重要协议之一。通过分析当前实现HDLC协议的一般方法,指出其存在的一些弊端,提出了一种利用FPGA编程实现HDLC协议的硬件处理方法,并对FPGA如何实现HDLC协议的帧序列校验———循环冗余校验(C

5、RC)进行了阐述。模块下载到硬件中测试通过,证实了FPGA实现HDLC协议的可行性,模块编程简单且易于修改使其在应用中具有很大的优越性。关键词:HDLC协议;FPGA;CRC校验;线性反馈移位寄存器(LFSR)中图分类号:TN79.1文献标识码:A文章编号:100529490(2009)0320696204HDLC(High2levelDataLinkControl)协议是①由于HDLC标准的文本较多,ASIC芯片出国际标准化组织ISO制定的高级数据链路控制规于专用性的目的难以通用于不同版本,缺乏应用灵[1]程,

6、广泛应用于数字通信领域,是其它众多数据链活性,而且有些芯片还有自己的HDLC协议标准;路控制协议的基础。HDLC协议的一般实现方法②专用于HDLC的ASIC芯片其片内数据存为采用ASIC(ApplicationSpecificIntegratedCir2储器容量有限,当需要扩大数据缓存的容量时,只能cuit,专用集成电路)器件和软件编程等。对ASIC芯片再外接存储器或其它电路。此时,HDLC的ASIC器件基本上都是国外的,比如ASIC的简单易用性就变得不明显;[2]Zarlink公司的MT8952B,Freesc

7、aleSemiconduc2③目前市场上有很多芯片大多为了追求功能[3]tor公司的MC92460等。使用这些ASIC器件存的完备,使芯片的控制变得复杂,而在实际中,我们在着以下问题:只需要选择HDLC协议中符合系统要求的部分功收稿日期:2008212215作者简介:张文昊(19842),男,硕士研究生,研究方向为信号与信息处理,beihesan@163.com;王俊(19722),男,北京航空航天大学电子信息工程学院,副教授;于鹏飞(19842),男,北京航空航天大学电子信息工程学院07级硕士研究生;武伟(19

8、872),男,北京航空航天大学电子信息工程学院07级硕士研究生第3期张文昊,王俊等:基于FPGA的HDLC协议的设计与实现能即可。5个“1”码时,自动剔除第5个“1”码后面的“0”码。④有些公司,如Innocor,Xilinx等推出了能在本文主要论述如何按照协议要求实现数据的发FPGA中实现HDLC功能IPCore(Intellectual送和接收,不考虑帧内控制命令等的

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。