基于VHDL语言的简易洗衣机控制器.doc

基于VHDL语言的简易洗衣机控制器.doc

ID:58052065

大小:61.00 KB

页数:27页

时间:2020-04-09

基于VHDL语言的简易洗衣机控制器.doc_第1页
基于VHDL语言的简易洗衣机控制器.doc_第2页
基于VHDL语言的简易洗衣机控制器.doc_第3页
基于VHDL语言的简易洗衣机控制器.doc_第4页
基于VHDL语言的简易洗衣机控制器.doc_第5页
资源描述:

《基于VHDL语言的简易洗衣机控制器.doc》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、电子课程设计——简易洗衣机控制器设计学院:班级:姓名:学号:指导老师:2013年12月目录第一部分:设计任务与要求(1第二部分:总体框图(1第三部分:选择器件(2第四部分:功能模块(34.1时间预置编码寄存模块(settime(34.2减法计数器模块(counter(44.3数码管显示模块(showtime(74.4时序电路模块(analyse(94.5译码器模块(move………………………………………11第五部分:总体设计电路图(135.1总体(顶层设计电路图(135.2顶层文件仿真(135.3管脚分配图(145.4硬件实验效果图(14第六部分:课程设

2、计心得体会(15简易洗衣机控制器设计一、设计任务与要求设计一个洗衣机洗涤程序控制器,控制洗衣机的电动机按下图所示的规律运转:时间到用两位数码管预置洗涤时间(分钟数,洗涤过程在送入预置时间后开始运转,洗涤中按倒计时方式对洗涤过程作计时显示,用LED表示电动机的正、反转,如果定时时间到,则停机并发出音响信号。二、总体框图RUNREVPAUSEtime_overclkK5startK6loadK1K2K3K4各个部分的具体功能描述如下:(一预设时间和编码电路(settime:接受用户通过按钮预置的时间信息,编码译码驱动模块(moveclkout_1out_2s

3、tart时序电路模块(analyseclktime_overstart十进制减法计数器模块(counter洗涤预置时间编码寄存电路模块(settime报警信号时间显示模块(showtime定时启动停止正转暂停反转暂停成八位之后转给减法计数器。(二减法计数器电路(counter:接收编码之后的预置时间信息,向电机运转控制电路传递运行信号,并将预置时间信息和剩余时间信息发给数码管显示电路进行实时显示。(三数码管显示电路(showtime:接收减法计数器电路传来的时间信息,进行实时译码显示。(四电机运转时序控制电路(analyse:接收运行起止信号,安排电机运

4、行状态并编码输出。(五译码器(move:接收电机运行状态信号,译码后实时控制电机的正传、反转和暂停。三、选择器件1、pc机一台。2、CPLD/FPGA适配器板:标准配置EPF10K10LC84-4接口板,下载接口是数字芯片的下载接口(DIGITALJTAG,主要用于CPLD/FPGA芯片的数据下载。3、实验箱:装有七段数码管及蜂鸣器等,七段数码管字形及真值表如下七段数码管字形如下:七段数码管真值表如下:四、功能模块4.1时间预置编码寄存模块(settime1、时间预置编码寄存模块settime如图1所示,time_input为通过开发板上拨码开关K1、K

5、2、K3、K4输入的信号,load为输入确认信号。本模块将输入的四位时间信号编码成八位二进制数输出到减法计数器电路。图1时间预置编码寄存模块settime2、仿真图图2时间预置编码寄存模块仿真图用K1、K2、K3、K4给time_input输入一个二进制数0111,让load有效,输出time_set为。3、时间预置编码寄存模块源代码libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitysettimeisport(load:instd_logic;time_

6、input:instd_logic_vector(3downto0;time_set:outstd_logic_vector(7downto0;endsettime;architecturesettimeofsettimeissignalp1:std_logic_vector(7downto0;beginprocess(loadbeginif(load'eventandload='1'thencasetime_inputiswhen"0000"=>p1<="";when"0001"=>p1<="";when"0010"=>p1<="";when"0011

7、"=>p1<="";when"0100"=>p1<="";when"0101"=>p1<="";when"0110"=>p1<="";when"0111"=>p1<="";when"1000"=>p1<="";when"1001"=>p1<="";whenothers=>p1<="";endcase;endif;endprocess;time_set<=p1;endsettime;4.2减法计数器模块(counter1、减法计数模块counter如图3所示,本模块中clk为系统时序脉冲信号,start为系统开始运行的信号,time_set接收编码之后的预

8、置时间信息,向电机运转控制电路传递运行信号,并将预置时间信息和剩余时间信息发给数

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。