基于FPGA的数字频率计设计.doc

基于FPGA的数字频率计设计.doc

ID:58863627

大小:108.00 KB

页数:8页

时间:2020-09-22

基于FPGA的数字频率计设计.doc_第1页
基于FPGA的数字频率计设计.doc_第2页
基于FPGA的数字频率计设计.doc_第3页
基于FPGA的数字频率计设计.doc_第4页
基于FPGA的数字频率计设计.doc_第5页
资源描述:

《基于FPGA的数字频率计设计.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、EDA课程设计题目基于FPGA的数字频率计设计系别计电系专业应用电子技术班级:06应电组员一:刘俊组员二:杨利鲜组员三:董明超指导老师8位十进制显示数字频率计(带周期测量)功能要求:1、能测量1—Hz的方波信号频率,(能测量10uS—1000mS的周期)[1MHZ/1us--1HZ/1000ms],并以十进制的方式显示。2、具有工作方式转换控制键、开始键、停止键等控制键。3、数值显示用LED数码管动态显示。1、频率计的工作原理本文要设计一个8位十进制数字频率计,需要由四种器件来组成,即:测频控制信号发生器(FTCTR

2、L)、有时钟使能的十进制计数器(CNT10)、32位锁存器(REG32B)、除法器模块(division).因为是8位十进制数字频率计,所以计数器CNT10需用8个,7段显示LED7也需用8个.频率测量的基本原理是计算每秒钟内待测信号的脉冲个数。为此,测频控制信号发生器FTCTRL应设置一个控制信号时钟CLKK,一个计数使能信号输出端CNT_EN、一个与CNT_EN输出信号反向的锁存输出信号Load、和清零输出信号RST_CNT。如CLKK的输入频率为1HZ,则输出信号端CNT_EN输出一个脉宽恰好为1秒的周期信号,

3、可以作为闸门信号用。由它对频率计的每一个计数器的使能端进行同步控制。当CNT_EN高电平时允许计数,低电平时停止计数,并保持所计的数。在停止计数期间,锁存信号Load的上跳沿将计数器在前1秒钟的计数值锁存进32位锁存器REG32B,由7段数码管稳定显示。设置锁存器的好处是,显示的数据稳定,不会由于周期性的清零信号而不断闪烁。锁存信号之后,清零信号RST_CNT对计数器进行清零。为下1秒钟的计数操作作准备。测频控制信号发生器的工作时序如图1示。图1测频控制信号发生器的工作时序图图2电路设计原理框图2、用VHDL语言设计

4、频率计频率计所需四种器件的VHDL文件(频率计的底层文件)及波形仿真结果2.1测频控制信号发生器FTCTRLLIBRARYIEEE;--测频控制电路USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYFTCTRLISPORT(CLKK:INSTD_LOGIC;--1HzCNT_EN:OUTSTD_LOGIC;--计数器时钟使能RST_CNT:OUTSTD_LOGIC;--计数器清零Load:OUTSTD_LOGIC);--输出锁存信号ENDF

5、TCTRL;ARCHITECTUREbehavOFFTCTRLISSIGNALDiv2CLK:STD_LOGIC;BEGINPROCESS(CLKK)BEGINIFCLKK'EVENTANDCLKK='1'THEN--1Hz时钟2分频Div2CLK<=NOTDiv2CLK;ENDIF;ENDPROCESS;PROCESS(CLKK,Div2CLK)BEGINIFCLKK='0'ANDDiv2CLK='0'THENRST_CNT<='1';--产生计数器清零信号ELSERST_CNT<='0';ENDIF;ENDPRO

6、CESS;Load<=NOTDiv2CLK;CNT_EN<=Div2CLK;ENDbehav;图3测频控制信号发生器的波形仿真图2.2带时钟使能十进制计数器CNT10LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYCNT10ISPORT(CLK,RST,EN:INSTD_LOGIC;CQ:OUTSTD_LOGIC_VECTOR(3DOWNTO0);COUT:OUTSTD_LOGIC);ENDCNT10;ARCHIT

7、ECTUREbehavOFCNT10ISBEGINPROCESS(CLK,RST,EN)VARIABLECQI:STD_LOGIC_VECTOR(3DOWNTO0);BEGINIFRST='1'THENCQI:=(OTHERS=>'0');--计数器复位ELSIFCLK'EVENTANDCLK='1'THEN--检测时钟上升沿IFEN='1'THEN--检测是否允许计数IFCQI<"1001"THENCQI:=CQI+1;--允许计数ELSECQI:=(OTHERS=>'0');--大于9,计数值清零ENDIF;EN

8、DIF;ENDIF;IFCQI="1001"THENCOUT<='1';--计数大于9,输出进位信号ELSECOUT<='0';ENDIF;CQ<=CQI;--将计数值向端口输出ENDPROCESS;ENDbehav;图4带时钟使能十进制计数器的波形仿真图2.3.除法器模块(division)libraryIEEE;useIEEE.STD_LO

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。