组合逻辑电路设计FPGA结构与工作原理新ppt课件.ppt

组合逻辑电路设计FPGA结构与工作原理新ppt课件.ppt

ID:59007657

大小:666.50 KB

页数:31页

时间:2020-09-26

组合逻辑电路设计FPGA结构与工作原理新ppt课件.ppt_第1页
组合逻辑电路设计FPGA结构与工作原理新ppt课件.ppt_第2页
组合逻辑电路设计FPGA结构与工作原理新ppt课件.ppt_第3页
组合逻辑电路设计FPGA结构与工作原理新ppt课件.ppt_第4页
组合逻辑电路设计FPGA结构与工作原理新ppt课件.ppt_第5页
资源描述:

《组合逻辑电路设计FPGA结构与工作原理新ppt课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、可编程的查找表:与乘积项的可编程结构不同.是另一种可编程逻辑的形成方法。查找表LUT是可编程的最小逻辑构成单元。大部分的FPGA采用基于SRAM的查找表逻辑形成结构。用SRAM来构成逻辑函数发生器。LUT输入1输入2输入3输入4输出一个N输入的查找表可以实现N个输入变量的任何逻辑功能注3.4FPGA结构与工作原理一个N输入查找表(LUT,LookUpTable)可以实现N个输入变量的任何逻辑功能,如N输入“与”、N输入“异或”等。输入多于N个的函数、方程必须分开用几个查找表(LUT)实现输出查黑找盒表子输入1输入2输入3输入4什么是查找表?基于查找表的结构模块0000010

2、100000101输入A输入B输入C输入D查找表输出16x1RAM查找表原理多路选择器3.4.2CYCLONE系列器件概述CYCLONE系列器件是ALTERA公司的一款低成本高性价比的FPGA.结构●嵌入式阵列块(EAB)●逻辑阵列块(LAB)●快速通道(FastTrack)互联●I/O单元(IOE)每个FPGA包含一个实现存储和专用逻辑功能的嵌入阵列和一个实现一般功能的逻辑阵列.逻辑单元(LE)FPGA结构里最小的逻辑单元。组成:由组合电路和时序电路两部分组成●一个四输入LUT(查找表);函数发生器●一个可编程的具有同步使能的触发器;时序电路●一个进位链;提供LE之间非常

3、快的进位功能●一个级连链;用于连接相邻的LE,不占局部互连通道可以连接同一LAB中的所有LE和同一行中的所有LAB寄存器打包:LE有两个驱动互连通道的输出信号一个驱动局部互连一个驱动行或列的快速通道互连两个输出信号单独控制,可以用LUT驱动一个输出,寄存器驱动另一个,LUT和寄存器可以作互不相关的功能,这一特性,称之为寄存器打包.注(1)逻辑单元LE图3-36进位链连通LAB中的所有LE快速加法器,比较器和计数器DFF进位输入(来自上一个逻辑单元)S1LE1查找表LUT进位链DFFS2LE2A1B1A2B2进位输出(到LAB中的下一个逻辑单元)进位链查找表LUT(1)逻辑单

4、元LE图3-37两种不同的级联方式“与”级联链“或”级联链LUTLUTIN[3..0]IN[4..7]LUTIN[(4n-1)..4(n-1)]LUTLUTIN[3..0]IN[4..7]LUTIN[(4n-1)..4(n-1)]LE1LE2LEnLE1LE2LEn0.6ns2.4ns16位地址译码速度可达2.4+0.6x3=4.2ns图2-34CycloneLE结构图(1)逻辑单元LE图3-35LE(LC)结构图数据1Lab控制3LE输出进位链级联链查找表 (LUT)清零和预置逻辑时钟选择进位输入级联输入进位输出级联输出Lab控制1CLRNDQ数据2数据3数据4Lab控制

5、2Lab控制4快速通道(FastTrack)输入输出单元(IOE)每个IOE包含一个双向I/O缓冲器和一个输入输出寄存器,可被用作输入输出或双向引脚由“行互连”“列互连”组成,可预测延时性能。逻辑阵列(LAB)LogicArrayBlock由一系列相邻的LE构成。每个CycloneLAB含10个LE,相连的进位链和极联链,LAB控制信号和LAB局部互连,LUT链和寄存器链。图2-37CycloneLAB结构(2)逻辑阵列LAB是由一系列的相邻LE构成的图3-38-FLEX10KLAB的结构图连续布线=每次设计重复的可预测性和高性能连续布线(Altera基于查找表(LUT)的

6、FPGA)LABLE(3)快速通道(FastTrack)(4)I/O单元与专用输入端口图3-39IO单元结构图(5)嵌入式阵列块EAB是在输入、输出口上带有寄存器的RAM块,是由一系列的嵌入式RAM单元构成。图3-40用EAB构成不同结构的RAM和ROM输出时钟DRAM/ROM256x8512x41024x22048x1DDD写脉冲电路输出宽度8,4,2,1数据宽度8,4,2,1地址宽度8,9,10,11写使能输入时钟EAB的大小灵活可变通过组合EAB可以构成更大的模块不需要额外的逻辑单元,不引入延迟,EAB可配置为深度达2048的存储器EAB的字长是可配置的256x851

7、2x41024x22048x1256x8256x8512x4512x4256x16512x8EAB可以用来实现乘法器VS非流水线结构,使用35个LE,速度为34MHz流水线结构速度为100MHz,EAB8890MHz用EAB实现的流水线乘法器操作速度可达90MHz!实例:4x4乘法器+(6LE)+(6LE)+(7LE)8LELELELELELELELELELELELELELELELE嵌入式阵列块(EAB)是一种在输入输出端口上带有寄存器的灵活RAM电路,既可以作为存储器使用,也可以用来实现逻辑功能。逻辑功能:通过配

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。