VHDL的并行语句ppt课件.ppt

VHDL的并行语句ppt课件.ppt

ID:59414041

大小:691.00 KB

页数:47页

时间:2020-09-19

VHDL的并行语句ppt课件.ppt_第1页
VHDL的并行语句ppt课件.ppt_第2页
VHDL的并行语句ppt课件.ppt_第3页
VHDL的并行语句ppt课件.ppt_第4页
VHDL的并行语句ppt课件.ppt_第5页
资源描述:

《VHDL的并行语句ppt课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、CPLD/FPGA的开发与应用第6章VHDL的并行语句VHDL的信号赋值语句VHDL的进程(Process)语句VHDL的元件例化(Component)语句VHDL的块(Block)语句VHDL的常用语句VHDL分并行(Concurrent)语句和顺序(Sequential)语句两大类并行语句(Concurrent):并行语句之间值的更新是同时进行的,与语句所在的位置和顺序无关。并行语句总是处于进程(PROCESS)的外部。所有并行语句都是并行执行的,即与它们出现的先后次序无关。如when….else语句

2、顺序语句(Sequential):顺序语句总是处于进程(PROCESS)的内部,并且从仿真的角度来看是顺序执行的。如if-then-else语句第6章VHDL的并行语句并行语句1构造体并行语句2并行语句3signalsignal构造体构造体元素说明构造体功能说明常数说明数据类型说明元件例化说明信号说明子程序说明块语句信号赋值语句元件例化语句进程语句子程序调用语句构造体组织结构常用并行语句包括:信号赋值语句简单信号、条件信号、选择信号赋值语句进程(Process)语句元件例化(Component)语句块(B

3、lock)语句信号赋值语句信号赋值语句是VHDL最基本的描述形式并行信号赋值语句包括:简单信号赋值语句(SimpleSignalAssignments)条件信号赋值语句(ConditionalSignalAssignments)选择信号赋值语句(SelectedSignalAssignments)简单信号赋值语句基本格式:目标信号<=值或表达式举例:signala,b,c:bit;b<=‘1’AFTER10ns;a<=NOT(b);c<=axorb;SimpleSignalAssignmentThesek

4、indofstatementsareexecutedinParallelEntitytest1isport(a,b,e:inbit;c,d:outbit);endtest1;architecturetest1_bodyoftest1isbeginc<=aandb;d<=e;endtest1_body;条件信号赋值语句基本格式:目标信号<=表达式1WHEN条件1ELSE表达式2WHEN条件2ELSE……表达式n;abcselaselbq最后一句不要加WHEN但要加“;”举例:q<=cWHENselb=‘0’

5、ELSEbWHENsela=‘0’ELSEa;ConditionalSignalAssigmentsTheoutputgetthevaluewhentheconditionistruee.g.2to1multiplexerEntitytest1isport(in1,in2,sel:inbit;d:outbit);endtest1;architecturetest1_bodyoftest1isbegind<=in1whensel=‘0’elsein2;endtest1_body;IfIwantmore--4

6、to1MuxOnceagain,youareduewithLanguagenotGraphic,soitiseasyEntitytest1isport(in1,in2,in3,in4:inbit;sel1,sel2:inbit;d:outbit);endtest1;architecturetest1_bodyoftest1isbegind<=in1whensel1=‘0’andsel2=‘0’elsein2whensel1=‘0’andsel2=‘1’elsein3whensel1=‘1’andsel2=

7、‘0’elsein4;endtest1_body;选择信号赋值语句基本格式WITH表达式SELECT目标信号<=表达式1WHEN选择条件1,表达式2WHEN选择条件2,……表达式nWHEN选择条件n;举例WITHselSELECTq<=aWHEN“00”,bWHEN“01”,cWHEN“10”,dWHENOthers;ABCDSelq2所有可能情况都要考虑,但可以在最后加上Others语句来处理未考虑到的情况注意:WITH-SELECT-WHEN语句必须指明所有互斥条件(即穷举),在上例中即“sel”的所

8、有取值组合。假设“sel”的类型为“std_logic_vector”,则取值组合除了00,01,10,11外还有0X,0Z,x1…等。虽然这些取值组合在实际电路中不一定出现,但也应列出。因此在选择信号赋值语句中为避免麻烦可以用“others”代替其他各种组合情况。选择信号赋值语句LIBRARYieee;USEieee.std_logic_1164.all;ENTITYcmpl_sigISPORT(a,b,sel:INSTD_

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。