技术实用教程 第1章 概述ppt课件.ppt

技术实用教程 第1章 概述ppt课件.ppt

ID:59450011

大小:746.00 KB

页数:38页

时间:2020-09-18

技术实用教程 第1章 概述ppt课件.ppt_第1页
技术实用教程 第1章 概述ppt课件.ppt_第2页
技术实用教程 第1章 概述ppt课件.ppt_第3页
技术实用教程 第1章 概述ppt课件.ppt_第4页
技术实用教程 第1章 概述ppt课件.ppt_第5页
资源描述:

《技术实用教程 第1章 概述ppt课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、EDA技术实用教程第1章概述EDA?EDA:ElectronicDesignAutomation即:电子设计自动化EDA?●IC设计制造●FPGA/CPLD应用●PCB设计回溯20世纪70年代,计算机作为一种运算工具已在科研领域得到广泛应用。这一阶段人们开始利用计算机取代手工劳动,辅助进行集成电路版图编辑、PCB布局布线等工作。回溯20世纪80年代,集成电路设计进入了CMOS时代。复杂可编程器件进入商业应用,CAE和CAD技术的应用更为广泛,它们在PCB设计方面的原理图输入、自动布局布线即PCB分析,以及逻辑

2、设计、逻辑仿真、布尔方程综合和化简等方面担任了重要的角色。回溯进入90年代,随着硬件描述语言的标准化得到进一步的确立,与此同时电子技术在通信、计算机及家电产品生产中的市场需求和技术需求,极大的推动了全新的电子设计自动化技术的应用和发展。集成电路设计工艺步入了超深亚微米阶段,百万门以上的大规模可编程逻辑器件的陆续面世,记忆基于计算机技术的面向用户的低成本大规模ASIC设计技术应用,促进了EDA技术的形成。CAD计算机辅助设计CAE计算机辅助工程EDA电子设计自动化ESDA电子系统设计自动化不难理解,EDA技术已

3、不是某一学科的分支,或某种新的技能技术,应该是一门综合性学科。它融合多学科于一体,打破了软件和硬件间的壁垒,使计算机的软件技术与硬件实现、设计效率和产品性能合二为一,它代表了电子设计技术和应用技术的发展方向。EDA技术实现目标目标:是完成专用集成电路ASIC的设计和实现EDA技术ASIC设计数字ASICFPGA/CPLD可编程ASIC设计门阵列)标准单元全定制ASIC设计混合ASIC设计PCBFPGA-FieldProgrammableGateArray(现场可编程门阵列)CPLD-ComplexProgra

4、mmableLogicDevice(复杂可编程逻辑器件)ASIC–ApplicationSpecificIntegratedCircuit(专用集成电路)EDA技术实现目标1.超大规模可编程逻辑器件FPGA、CPLD特点:直接面向用户,具有极大的灵活性和通用性,使用方便.硬件测试和实现快捷,开发效率高,成本低,上市时间短,技术维护简单,工作可靠性好等。EDA技术实现目标2、半定制或全定制ASIC统称为掩模(MASK)ASIC,或直接称ASIC。特点:用户设计IC,IC厂家生产三种级别:A、半导体元件、连线的大

5、小与尺寸,电路全定制B、片内晶体管固定,用户设计连线半定制C、库内含标准单元,如SSI逻辑块、MSI逻辑块、数据通道模块、存储器、IP,乃至系统级模块。用户在EDA工具上进行开发/粘贴。掩模ASIC门阵列ASIC标准单元ASIC全定制芯片EDA技术实现目标3、混合ASIC混合ASIC:指既具有面向用户的可编程功能和逻辑资源,同时也含有可方便调用和配置的硬件标准单元模块,如CPU、RAM、ROM、硬件加法器、乘法器、锁相环等。Xilinx和Altera公司已经推出了这方面的器件,如Virter-IIPro系列和

6、Stratix系列等。混合ASIC为SoC的设计实现成为便捷的途径。硬件描述语言VHDLVHDL-VHSIC(VeryHighSpeedIntegratedCircuit)HardwareDescriptionLanguageVHDLVerilogHDLSystemVerilogSystemC具有很强的电路描述和建模能力具有与具体硬件电路无关和与设计平台无关的特性具有良好的电路行为描述和系统描述的能力硬件描述语言是EDA技术的重要组成部分,VHDL是作为电子设计主流硬件的描述语言之一。VHDL语言具有很强的电

7、路描述和建模能力,能从多个层次对数字系统进行建模和描述,从而大大简化了硬件设计任务,提高了设计效率和可靠性。用VHDL进行电子系统设计的一个很大的优点是设计者可以专心致力于其功能的实现,而不需要对不影响功能的与工艺有关的因素、花费过多的时间和精力。VHDL综合Synthesis(综合)--字面含义:通过组合某些模块,把设计抽象层次中的一种表示转化为另一种表示的过程。EDA中综合:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。VHDL综合把VHDL描述转化为门级电路描述,设计过

8、程中的每一步都可称为一个综合环节。(1)从自然语言转换到VHDL语言算法表示,即自然语言综合;(2)从算法表示转换到寄存器传输级(RTL),即从行为域到结构域的综合——行为综合;(3)从RTL级表示转换到逻辑门的表示(包括触发器),即逻辑综合;(4)从逻辑门表示转换到版图表示(ASIC设计),或转换到FPGA的配置网表文件,可称为版图综合或结构综合。有了版图信息就可以把芯片生产出来了。有了对应的配置

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。