VHDL第六讲_VHDL的元件例化语句教学文稿.ppt

VHDL第六讲_VHDL的元件例化语句教学文稿.ppt

ID:60779415

大小:240.00 KB

页数:23页

时间:2020-12-18

VHDL第六讲_VHDL的元件例化语句教学文稿.ppt_第1页
VHDL第六讲_VHDL的元件例化语句教学文稿.ppt_第2页
VHDL第六讲_VHDL的元件例化语句教学文稿.ppt_第3页
VHDL第六讲_VHDL的元件例化语句教学文稿.ppt_第4页
VHDL第六讲_VHDL的元件例化语句教学文稿.ppt_第5页
资源描述:

《VHDL第六讲_VHDL的元件例化语句教学文稿.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、VHDL第六讲_VHDL的元件例化语句元件例化语句分为元件声明和元件例化两部分。用元件例化方式设计电路的方法是:(1)完成各种元件的设计。(2)元件声明。(3)通过元件例化语句调用这些元件,产生需要的设计电路。元件声明语句的格式COMPONENT元件名[GENERIC<参数说明>;]PORT<端口说明>;ENDCOMPONENT;元件例化语句的格式元件例化就是将元件的引脚与调用该元件的端口的引脚相关联。关联方法有位置关联,名字关联,混合关联。(1)位置关联方式例化名:元件名portmap(信号1,信号2,.....);(2)名字关联

2、方式例化名:元件名portmap(信号关联式1,信号关联式2,.....);信号关联式形如:a=>a1,b=>b1,意思是将元件的引脚a与调用该元件的端口a1相关联。这种情况下,位置可以是任意的。(3)混合关联方式将上述两种相结合,即为混合关联方式。元件例化举例例1:利用2输入与非门元件,设计4输入的与非与非电路。方法一:在调用文件里声明元件,它放在结构体的begin之前。第一步:设计2输入与非门,其VHDL源程序为nand_2.vhd;第二步:元件声明及元件例化,其VHDL源程序为nand_4.vhd;生成的RTL视图:libra

3、ryieee;useieee.std_logic_1164.all;entitynand_2isport(a,b:instd_logic;y:outstd_logic);endnand_2;architectureoneofnand_2isbeginprocess(a,b)beginy<=anandb;endprocess;endone;libraryieee;useieee.std_logic_1164.all;entitynand_4isport(a,b,c,d:instd_logic;y:outstd_logic);endna

4、nd_4;architectureoneofnand_4is--元件声明componentnand_2port(a,b:instd_logic;y:outstd_logic);endcomponent;--元件声明signaly1,y2:std_logic;beginu1:nand_2portmap(a,b,y1);--元件例化u2:nand_2portmap(c,d,y2);u3:nand_2portmap(y1,y2,y);endone;例1:利用2输入与非门元件,设计4输入的与非与非电路。方法2:将元件声明放在程序包里进行说明

5、第一步:设计2输入与非门,其VHDL源程序为nand_2.vhd;第二步:元件声明,放在程序包里,其VHDL源程序为mypkg.vhd;第三步:元件例化,其VHDL源程序为mynand_4.vhd;程序包(p228)在设计实体中声明的数据类型、数据对象只能用于本实体和结构体内部,不能被其他实体和结构体使用,就像高级语言中的局部变量一样。为了使数据类型、元件等能被多个设计实体调用或共享,VHDL提供了程序包的机制。程序包就像是公用的工具箱,各个设计实体都可使用其中定义的工具。程序包的格式package程序包名is程序包头说明部分end

6、程序包名;packagebody程序包名is程序包体说明部分及包体内容end程序包名;如何打开程序包?用语句usework.程序包名.all;打开程序包。其中,work库是用户设计的现行工作库,用于存放自己设计的工程项目。在QuartusII的根目录下为设计建立一个工程目录(即文件夹),VHDL综合器将此目录默认为work库。但work不是设计项目的目录名,而是一个逻辑名。VHDL标准规定work库总是可见的,因此,在程序设计时不需要明确指定。用将元件声明放在程序包里的设计方法设计4输入的与非与非门,详见演示实例mynand_4.q

7、pf。(1)在QuartusII的根目录下新建工程mynand_4.(2)编辑VHDL源程序文件nand_2.vhd,mypkg.vhd,mynand_4.vhd.nand_2.vhd用来描述2输入与非门。mypkg.vhd用来进行元件声明。mynand_4.vhd实现元件例化。libraryieee;useieee.std_logic_1164.all;entitynand_2isport(a,b:instd_logic;y:outstd_logic);endnand_2;architectureoneofnand_2isbegi

8、nprocess(a,b)beginy<=anandb;endprocess;endone;libraryieee;useieee.std_logic_1164.all;packagemypkgiscomponentnand_2por

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。