基于FPGA的UART模块设计讲课讲稿.doc

基于FPGA的UART模块设计讲课讲稿.doc

ID:60785833

大小:319.50 KB

页数:19页

时间:2020-12-18

基于FPGA的UART模块设计讲课讲稿.doc_第1页
基于FPGA的UART模块设计讲课讲稿.doc_第2页
基于FPGA的UART模块设计讲课讲稿.doc_第3页
基于FPGA的UART模块设计讲课讲稿.doc_第4页
基于FPGA的UART模块设计讲课讲稿.doc_第5页
资源描述:

《基于FPGA的UART模块设计讲课讲稿.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、精品好文档,推荐学习交流编号基于FPGA的UART模块设计与实现DesignandRealizationofUARTbasedonFPGA学生姓名周大勇专业控制科学与工程学号S120400525指导教师杨晓慧学院电子信息工程学院二〇一三年六月仅供学习与交流,如有侵权请联系网站删除谢谢15精品好文档,推荐学习交流摘要UART因其可靠性高,传输距离远,线路简单,同时UART作为RS232协议的控制接口,从而成为比较广泛的串行数据通信电路,而现在大部分集成电路通信用的UART芯片,存在成本高,电路复杂

2、,移植性较差等缺点,本文提出了一种将UART的功能集成在FPGA芯片中,可使整个系统更为灵活、紧凑,减小整个电路的体积,提高系统的可靠性和稳定性。本模块功能全部基于verilogHDL硬件描述语言。关键词:FPGA,UART,verilogHDL,RS232仅供学习与交流,如有侵权请联系网站删除谢谢15精品好文档,推荐学习交流ABSTRACTUART,becauseofitshighreliability,longtransmissiondistanceandthesimpleline,more

3、overmainlyusedincommunicationbetweendevicewithRS232interface.Thusitisbecomingmoreextensiveserialdatacommunicationcircuit.ButnowmostoftheintegratedUARTchipsusedincommunications,havefaultsofhighcostandpoorportability.Thecircuitofthechipiscomplex.Thispa

4、perpresentsamethodthatUARTfunctionwillbeintegratedinFPGAchip,Itcanmakesystemmorecompact,flexible,reliableandstable.AllfunctionsofmodulearebasedonverilogHDLhardwaredescriptionlanguage.Keywords:FPGA,UART,verilogHDL,RS232仅供学习与交流,如有侵权请联系网站删除谢谢15精品好文档,推荐学

5、习交流目录摘要IABSTRACTII目录III第一章绪论1第二章UART简介2第三章UART功能设计33.1波特率发生模块33.2波特率接收模块53.3UART发送模块8发送模块主要实现对并行数据的并串转换,并把串行数据按照既定数据帧格式进行输出。该数据帧格式遵循1位起始位、8位数据位和1位停止位的固定格式。UART发送模块和时序仿真波形如图7和图8所示。8第四章顶层电路及实验数据11第五章结论12致谢13参考文献14仅供学习与交流,如有侵权请联系网站删除谢谢15精品好文档,推荐学习交流第一章绪

6、论通用异步收发器(universalasynchronousreceivertransmitter,UART)尽管自20世纪70年代就已出现,但因其简单可靠,目前仍是一种使用广泛的串行通信接口。各种微处理器,不论是单片机,还是DSP、ARM,UART都是基本外围模块。一般UART由专用芯片来实现,但专用芯片引脚都较多,内含许多辅助功能,在实际使用时往往只需要用到UART的基本功能,使用专用芯片会造成资源浪费和成本提高。本文提出一种基于FPGA的UART模块设,本文设计的UART符合RS232串行

7、通信标准。当我们不需要用到完整的的UART功能和一些辅助功能时,就可以将需要的UART功能集成用FPGA来实现,然而,FPGA内部并不拥有CPU控制单元,无法处理由UART控制器产生的中断,所以FPGA不能利用现成的UART控制器构成异步串行接口,必须将UART控制器的功能集成到FPGA内部。从而可以大大的减少了体积、简化了电路,也提高了系统的灵活性。仅供学习与交流,如有侵权请联系网站删除谢谢15精品好文档,推荐学习交流第二章UART简介UART是广泛使用的串行数据传输协议[2]。基本的UART

8、通信只需要2根信号线(RXD、TXD)就可以完成数据的相互通信,接收与发送都是全双工形式。RXD是UART接收端,为输入;TXD为UART发送端,为输出。UART的基本特点是在其信号线上共有2种状态,可分别用逻辑1(高电平)和逻辑0(低电平)来区分。在发送器空闲时,数据线保持在逻辑高电平状态。当发送器要发送字符时,起始位使数据线处于逻辑0状态,提示接收器数据传输即将开始。波特率和数据帧格式是UART通信中的2个重要指标,波特率表示每秒钟传输二进制数据的位数,表征了数据传输的速率。国际上规定了一系

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。