eda课程设计-多功能数字钟设计

eda课程设计-多功能数字钟设计

ID:6327620

大小:139.50 KB

页数:10页

时间:2018-01-10

eda课程设计-多功能数字钟设计_第1页
eda课程设计-多功能数字钟设计_第2页
eda课程设计-多功能数字钟设计_第3页
eda课程设计-多功能数字钟设计_第4页
eda课程设计-多功能数字钟设计_第5页
资源描述:

《eda课程设计-多功能数字钟设计》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、河南科技大学课程设计说明书课程名称EDA课程设计题目多功能数字钟设计课程设计任务书课程设计名称学生姓名专业班级设计题目多功能数字钟设计一、课程设计目的1、综合运用EDA技术,独立完成一个课题的设计,考察运用所学知识,解决实际问题的能力;2、结合理论知识,考察阅读参考资料、文献、手册的能力;3、进一步熟悉EDA技术的开发流程,掌握文件编辑、编译、仿真、下载验证等环节的实现方法和应用技巧;4、锻炼撰写研究报告、研究论文的能力;5、通过本实践环节,培养科学和严谨的工作作风。二、设计内容、技术条件和要求l、能进行正常的时、

2、分、秒计时功能,分别由6个数码显示24小时、60分钟的计数器显示。2、能利用实验系统上的按钮实现“校时”、“校分”功能;(1)按下“SA”键时,计时器迅速递增,并按24小时循环;(2)按下“SB”键时,计时器迅速递增,并按59分钟循环,并向“时”进位;(3)按下“SC”键时,秒清零;抖动的,必须对其消抖处理。3、能利用扬声器做整点报时:(1)当计时到达59’50”时开始报时,频率可为500Hz;计满23小时后回零;计满59分钟后回零。(2)到达59’59”时为最后一声整点报时,整点报时的频率可定为lKHz。4定时闹

3、钟功能5、用层次化设计方法设计该电路,用硬件描述语言编写各个功能模块。6、报时功能。报时功能用功能仿真的仿真验证,可通过观察有关波形确认电路设计是否正确。三、时间进度安排1周:(1)完成设计准备,确定实施方案;(2)完成电路文件的输入和编译;(4)完成功能仿真。2周:(1)完成文件至器件的下载,并进行硬件验证;(2)撰写设计说明书。四、主要参考文献(1)谭会生、瞿遂春,《EDA技术综合应用实例与分析》,西安电子科技大学出版社,2004(2)曹昕燕、周凤臣等,《EDA技术实验与课程设计》,清华大学出版社,2006指导

4、教师签字:2010年9月5日多功能数字钟设计一、设计思路考虑到本次设计的多功能数字钟的结构比较复杂,故将其分为若干模块分层次设计,这样既方便仿真查错,又使得逻辑更加清晰。在各个模块都编写完成,并仿真确定功能无误后,再将其分别生成图形模块。最后通过顶层图像将各个模块连接成完整电路,下载并实现设计要求。整个电路可按功能分为四个模块,即计时模块,时间校对模块,报时模块和分频模块。二、各模块设计原理1、计时模块(1)60进制计数器计时模块需要两个60进制计数器分别来充当秒计数器和分计数器。两者都是用来完成60进制计数的功能

5、,但因为1HZ时钟信号从秒计数器输入,可将两者分开设计。秒计数器cnt60_second:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitycnt60_secondisport(clk,clr:instd_logic;s1,s0:outstd_logic_vector(3downto0);co:outstd_logic);endcnt60_second;architecturebehavofcnt60_second

6、isbeginprocess(clk)variablecnt1,cnt0:std_logic_vector(3downto0);beginifclr='0'thencnt0:="0000";cnt1:="0000";elsifclk'eventandclk='1'thenifcnt1="0101"andcnt0="1000"thenco<='1';cnt0:="1001";elsifcnt0<"1001"thencnt0:=cnt0+1;elsecnt0:="0000";ifcnt1<"0101"thencnt1:

7、=cnt1+1;elsecnt1:="0000";co<='0';endif;endif;endif;s1<=cnt1;s0<=cnt0;endprocess;endbehav;分计数器cnt60_minute:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitycnt60_minuteisport(en,clk:instd_logic;min1,min0:outstd_logic_vector(3downto0);

8、co:outstd_logic);endcnt60_minute;architecturebehavofcnt60_minuteisbeginprocess(clk)variablecnt1,cnt0:std_logic_vector(3downto0);beginifclk'eventandclk='1'thenifen='1'thenifcnt1="01

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。