eda课程设计-数字钟

eda课程设计-数字钟

ID:6334747

大小:485.50 KB

页数:10页

时间:2018-01-10

eda课程设计-数字钟_第1页
eda课程设计-数字钟_第2页
eda课程设计-数字钟_第3页
eda课程设计-数字钟_第4页
eda课程设计-数字钟_第5页
资源描述:

《eda课程设计-数字钟》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、10EDA数字钟EDA期末设计设计名称:数字钟学院:物理与电子工程学院年级:2008级7班姓名:*********学号:20080707092010年5月25日200807070910EDA数字钟一.实验目的练习综合设计能力,设计一个含有时、分、秒的时钟,并且可以设置、清除、12/24小时工作模式的切换、仿电台整点报时。二.实验内容基本要求(1)具有“秒”、“分”、“时”计时功能(2)能进行24/12小时制计时模式切换拓展要求(1)具有校时设置和清除功能,能够对“分”和“时”进行调整(2)具有整点报时功能三.实验原理第一个模块为计时、校时、响铃模块,第二个为12与24进制相互转换。20

2、0807070910EDA数字钟一.本实验介绍(各按钮所对应实验箱按钮键引脚图)(1)功能:a具有“秒”、“分”、“时”计时功能b能进行24/12小时制计时模式切换,具有校时设置和清除功能,能够对“分”和“时”进行调整c.在59分56秒、57秒、58秒、59秒报时(2)按钮功能:CLK:计数1HZ脉冲,CLK1024和CLK512报时脉冲;EN=0为校时模式,en=1为计数模式;swich=1则12进制到24进制,swich=0则24到12进制;第三和第八数码管为标志:‘A’:上午标志‘d’:下午标志,‘E’:24进制计数模式标志SHI,FEN:对时、分的校正;都按FEN下时,对分低位

3、校正,按下SHI对时校正,按SHI和FEN下分,对高位分校正(均自动校正)。(2)系统对应按钮:键1:计数/校时模式控制;键2:分低位校时;键3:复位;键4:时位校时;键5:进制转换二.实验结果视屏:学习实验视频-专辑-优酷视频三.设计程序:(1)--**********************计数/校时/响铃*************************LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYSHIFENMIAOISPORT(CLK,RST,CLK0,CLK1,EN,S

4、HI1,FEN1:INSTD_LOGIC;CQ:OUTSTD_LOGIC_VECTOR(31DOWNTO0);--计数输出200807070910EDA数字钟SIGN:OUTSTD_LOGIC;DXWSIGN:OUTSTD_LOGIC);ENDENTITYSHIFENMIAO;ARCHITECTUREBHAVE1OFSHIFENMIAOISSIGNALX:STD_LOGIC;SIGNALAB:STD_LOGIC_VECTOR(1DOWNTO0);SIGNALCQI:STD_LOGIC_VECTOR(31DOWNTO0);BEGINAB<=SHI1&FEN1;Q1:PROCESS(CLK

5、,RST,EN)VARIABLESXSIGN:STD_LOGIC;BEGINIFRST='1'THENCQI<=(OTHERS=>'0');SXSIGN:='0';--计数器清零复位ELSEIFCLK'EVENTANDCLK='1'THEN--上升沿判断IFEN='1'THENIFCQI(3DOWNTO0)<"1001"THEN--比较低4位CQI<=CQI+16#1#;--计数加1ELSIFCQI(7DOWNTO4)<"0101"THEN--比较高4位CQI<=CQI+16#10#;CQI(3DOWNTO0)<="0000";--低4位清零----------------------

6、------------MIAO计时ELSIFCQI(15DOWNTO12)<"1001"THENCQI<=CQI+16#1000#;CQI(7DOWNTO4)<="0000";CQI(3DOWNTO0)<="0000";ELSIFCQI(19DOWNTO16)<"0101"THENCQI<=CQI+16#10000#;CQI(15DOWNTO12)<="0000";CQI(7DOWNTO4)<="0000";CQI(3DOWNTO0)<="0000";-------------------------------FEN计时ELSIFCQI(27DOWNTO24)<9THENCQI<=

7、CQI+16#1000000#;CQI(19DOWNTO16)<="0000";CQI(15DOWNTO12)<="0000";CQI(7DOWNTO4)<="0000";CQI(3DOWNTO0)<="0000";ELSIFCQI(31DOWNTO28)<1THENCQI<=CQI+16#10000000#;CQI(27DOWNTO24)<="0000";200807070910EDA数字钟CQI(19DOWNTO16)<="0000";CQ

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。