《电子设计自动化技术》eda实训指导书

《电子设计自动化技术》eda实训指导书

ID:8271314

大小:198.50 KB

页数:28页

时间:2018-03-15

《电子设计自动化技术》eda实训指导书_第1页
《电子设计自动化技术》eda实训指导书_第2页
《电子设计自动化技术》eda实训指导书_第3页
《电子设计自动化技术》eda实训指导书_第4页
《电子设计自动化技术》eda实训指导书_第5页
资源描述:

《《电子设计自动化技术》eda实训指导书》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、28电子设计自动化技术实训CSHCSH28电子设计自动化技术实训CSH前言EDA是电子设计自动化(ElectronicDesignAutomation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可靠性,减轻了

2、设计者的劳动强度。VHDL是一门非常重要的、是目前标准化程度最高的硬件描述语言。它在1987年就被IEEE采纳为IEEE#1076标准。用其书写的源文件既是程序又是文档,既是工程技术员之间交换信息的文件,以可作为合同签约者之间的文件。在《电子设计自动化技术》课程的理论学习中,我们已仔细地介绍了基本数字电路的VHDL描述,为进一步掌握VHDL的综合应用,通过专门实训周以加强实践操作能力。本次实训现提供以上几个数字系统综合课题供大家进行分析练习修改与矿。为了提高分析实践效果,在此只提供简单的课题框图与注释作为提示。同时将VHDL源代码给出以便对照调试之用。28电子设计自动化技术实训

3、CSH课题1带数字显示的秒表一、设计任务及要求1、设计一块用数码管显示的秒表。2、能够准确的计时并显示。3、开机显示00.00.00。4、用户可随时清零、暂停、计时。5、最大计时59分钟,最小精确到0.01秒。二、可选器件EPM130208-2、共阴极七段数码管、发光二极管、按键开关、电阻、电容。三、设计总体框图数字显示器的秒表总体框图如图1所示。译码显示时分复用分频电路时钟输入计时模块图1带数字显示的秒表总体框图四、源程序libraryieee;useieee.std_logic_1164.all;useieee.std_logic_arith.all;useieee.std

4、_logic_unsigned.all;entitywatchisport(sel:outstd_logic_vector(6downto1);——信号。seg:outstd_logic_vector(7downto0);——管的输出信号。beginstop:instd_logic;——、停止计数。reset:instd_logic;——复位cp2:instd_logic);endwatch;architecturebehaveofwatchissignalnuml:std_logic_vector(3downto0);signalnum2:std_logic_vector(3

5、downto0);28电子设计自动化技术实训CSHsignalnum3:std_logic_vector(3downto0);signalnum4:std_logic_vector(3downto0);signalnum5:std_logic_vector(3downto0);signalnum6:std_logic_vector(3downto0);signalnum:std_logic_vector(3downto0);signalnumlet:std_logic_vector(2downto0);signalcount:std_logic_vector(17downto1

6、);signalselsig:std__logic_vector(6downto1);signalsegsig:std_logic_vector(7downto0);signalcp1:std_logic;signalcp3:std_logic;beginprocess(cp2)——分频。BeginIf(cp2’eventandcp2=’1’)thenIf(count=”11000011010011111”)thencount<=”00000000000000000”;cp1<=notcp1;elsecount<=count+1;endif;endif;cp3<=count(1

7、0);endprocess;process(cp1)——计数控制。BeginIfreset=’1’thennum1(3downto0)<=”0000”;Num2(3downto0)<=”0000”;Num3(3downto0)<=”0000”;Num4(3downto0)<=”0000”;Num5(3downto0)<=”0000”;Num6(3downto0)<=”0000”;Elseifcp1’eventandcp1=’1’thenIfbiginstop=’1’thennum1<=num

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。