微机原理期末复习:微机原理期末复习

微机原理期末复习:微机原理期末复习

ID:83402731

大小:5.75 MB

页数:38页

时间:2023-07-02

上传者:无敌小子
微机原理期末复习:微机原理期末复习_第1页
微机原理期末复习:微机原理期末复习_第2页
微机原理期末复习:微机原理期末复习_第3页
微机原理期末复习:微机原理期末复习_第4页
微机原理期末复习:微机原理期末复习_第5页
微机原理期末复习:微机原理期末复习_第6页
微机原理期末复习:微机原理期末复习_第7页
微机原理期末复习:微机原理期末复习_第8页
微机原理期末复习:微机原理期末复习_第9页
微机原理期末复习:微机原理期末复习_第10页
资源描述:

《微机原理期末复习:微机原理期末复习》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

ᳮ2Ḅ᪀1.ḄᡂᔜᡂḄᘤᘤ᝞!ஹ#ஹ$ஹ◀&'()*᝞+ஹ,ஹᡈ&'Ḅ./஺12ᵫ)*ALUஹ7ᵨ9:ᘤXஹY(Zஹ>!ᘤஹ1ᵨ9:ᘤROஹR1ஹ…ஹRn-1D᪗F9:ᘤFᡂ஺᪶IALUᵨJKᡂ()*஺XஹYALUḄLᐭ9:ᘤNZALUḄLO9:ᘤ஺XஹYஹZ,ALUPQᑖḄSᑖN12TUALUḄᦪWᨚ:ᘤ஺XஹYYḄᦪWQᩭ[1ᵨ9:ᘤN\Qᩭ[:]ᘤ஺ZYḄᦪWQ〈_1ᵨ9:ᘤN\Q〈_:]ᘤ஺FᵨJ:`abḄc᝱Ne᝞NabᔲUgNhijNᨵlmNᔲnON&&஺oᑴᘤUqrstᔜḄᨵᦔoᑴNvwxyᙢ{ᢣ}ஹᑖ᪆ᢣ}(᡻ᢣ},oᑴᘤ12ᵫ☢ᑖᡂᢣ}9:ᘤIR——ᵨJ:`hᙠ᡻ᡈᓽ᡻Ḅᢣ}஺ᦪᘤPC——ᵨJ:`Sᩩᢣ}Ḅ:]ᓫᐗᙢᙬNᐹᨵ[ᦪḄ஺:]ᘤᙢᙬ9:ᘤMAR——ᵨJᙠ:::]ᓫᐗḄᙢᙬ஺:]ᘤᦪW9:ᘤMDR——ᵨJᙠ::t:]ᓫᐗ/ᑏḄᦪW஺ᢣ}ṹᘤIDSSᵨJtIRYḄᢣ}ṹNDy¡IRY:`Ḅ¢Sᩩᢣ}஺oᑴᵯ¤SS¥¦ᾭ¨©ªN«ᙠᾭ¨Ḅ¬tᨵᐵḄ¯O°±oᑴ²}²}'NDoᑴᔜḄ±஺Lᐭ³ᜓᵨᩭLᐭᦪW(Ḅ./Nᐸ᜜·Ḅ©¸¹ᣚᡂᑁḄ⊤½¾¿«À〈ᑮᑁ஺2ÂḄLᐭ³ᜓᨵÃÄஹÅ᪗ஹƾᦪÇᓄÉஹÆÊËÌÉ&

1&஺LO³ᜓᵨᩭLOᦪW(Ḅ./NᐸᑁḄᦪW(¹ᣚᡂÎÏᡠ◤⌕Ḅ¾¿«À〈ᑮ᜜஺2ÂḄLO³ᜓᨵÓ½ᘤஹᡭᓺஹÖÆ&&஺:]ᘤYḄᢣ}(ᦪW×⊤sUØᑴᦪṹ஺Uqxyᙢt:]ᘤᡈᑏN12DÇ⁚(ᡈDÇ)Uᓫm:]ᘤᑜᑖUS:]ᓫᐗN«ÝÞtßS:]ᓫᐗàáSªNâªTU:]ᓫᐗḄᙢᙬ஺:]ᓫᐗY:`ḄᦪWᡈᢣ}TU:]ᓫᐗḄᑁã஺ᙢᙬḄmᦪᵫoᑴᘤᙢᙬäḄmᦪy¡Nß:]ᓫᐗYᑁãḄmᦪᵫ³t:]ᘤḄåᙬæçy¡஺a᪀02.éêëḄᡂCPUஹ:]ᘤஹI/OìíஹLᐭ/LO³ᜓ஺CPUஹ:]ᘤஹI/Oìíᵯ¤îïᵨðñäòó:ᙢᙬñä(AB,AddressBus).

2ᦪWñä(DB,DataBus)>oᑴñä(CB,ControlBus)()ᙢᙬஹᦪஹᑴ஺ᔣᦪᔣḄᙢᙬᓫᔣḄᑴ"Ḅ#$ᨵḄᓫᔣḄ&ᨵḄᔣḄ஺3.)*+᡻-ᢣ/Ḅ0123(P48)(1)ᑴᘤ9PC"Ḅᢣ/ᙢᙬ〈<=>ᘤᙢᙬ?=ᘤMAR,DEFGH/“MG”஺=>ᘤᢥLMḄᙢᙬGFᢣ/Nᵫ=>ᘤᦪ?=ᘤMDR〈<ᑴᘤQ=ᙠᢣ/?=ᘤIR"஺(2)ᢣ/UṹᘤIDWᢣ/?=ᘤIR"Ḅᢣ/X-Uṹᑖ᪆ᢣ/Ḅ[\ឋ^Dᵫᑴᵯ`ᔣ=>ᘤஹa*ᘤbᨵᐵdeEFᢣ/ᡠ◤⌕ḄiH/஺(3)j◤⌕ᵫ=>ᘤᔣa*ᘤklᦪmᑴᘤ᪷ᢣ/ḄᙢᙬdᑖoᡂᦪᡠᙠḄ=>ᓫᐗᙢᙬD〈<=>ᘤᙢᙬ?=ᘤMAR,ᯠsᔣ=>ᘤEFGH/“MG”=>ᘤ"GFḄᦪNᵫ=>ᘤᦪ?=ᘤMDR〈ᘤᑏᐭᦪmᑴᘤ᪷ᢣ/ḄᙢᙬdᑖoᡂᦪᡠᙠḄ=>ᓫᐗᙢᙬD〈<=>ᘤᙢᙬ?=ᘤMAR,vwxᑏḄᦪ=ᐭ=>ᘤᦪ?=ᘤMDR,ᨬsᔣ=>ᘤEFᑏH/“Mᑏ”MDR"Ḅᦪᓽ{ᑏᐭᵫMARᢣ|ᙢᙬḄ=>ᓫᐗ"஺5)~ᩩᢣ/᡻-sᑴᘤ⌕Ḽ᡻-~ᩩᢣ/஺9~ᩩᢣ/=>ᘤ"Fᑴᘤ9PCḄᑁ~ᦪoᡂ~ᩩᢣ/Ḅᙢᙬ஺ᙠ⍗ᑮ“”ᢣ/mᑴᘤᑣ9“ᙢᙬ”〈ᐭPC஺ᑴᘤ23Ḅ(1)ᑮ(5),~᡻-~ᩩᢣ/ᑮ᦮3᡻-஺4.ᑴᘤi3ᑴᘤḄ01᪀ஹ¡\¢ᳮ¤ᔜ¦ᱯ¨஺ᑴᘤ(©ᑴᘤᡈ«ᔠ®ᑴᘤ)01᪀¯ᑴᘤ°⌕ᵫ±oᾭ³E´ᘤஹᢣ/UṹᘤµiH/¶ṹᘤ«ᡂ஺

3±oᾭ³E´ᘤᵨ¸¹±ᙢº´⁚¼ᾭ³#$½ᢣ/Uṹᘤᵨ¸¾MIR"=¿ḄÀ~ᩩᢣ/½iH/¶ṹᘤᵨ¸ᙠÁ⁚¼ᾭ³#$ḄÁº´ÃÄḄiH/#$஺¡\¢ᳮ¯ᙠᢣ/µ᡻-ᢣ/mÅ◤⌕ᑴᘤÆ┐WÁḄᢣ/ᙠÁḄ+ᘤᕜÉᑁEFᡠ◤⌕ḄᔜÊiH/஺Ë᝞ᙠᢣ/m◤⌕ᑴᘤᙠÍ~ᕜÉᑁEFPCOUTஹMARIN,MGஹPCᐳ4iH/ᙠÍÓᕜÉᑁEFMDROUTµIRINÔiH/஺┐WÕ☢LFḄ4ᩩᢣ/¤Wᢣ/᡻-23Ḅ×ØÙÚÛFWÁᢣ/ᙠÁ+ᘤᕜÉᑁÄEFḄiH/᝞⊤2-2ᡠ|஺⊤"ḄEndá⊤ᢣ/᡻-âḄiH/஺ᢣ/ãTlT2T3T4T5LOADPCoutMDRoutIRoutMDRoutEnd(00)MARinIRinMARinRlinMGMGPCADDÁÁIRoutMDRoutYinRlout(01)MARinaddMGSTOREÁÁIRoutRloutEnd(10)MARinMDRinMᑏJMPÁÁIRoutEnd(11)PCin᝞îᵨ“⊤|“”ᵨ“+”⊤|“ᡈ”ᵨTi⊤|Íi+ᘤᕜÉḄ⁚¼ᾭ³#$DðᡠᨵḄ#$ÅñᵯòᨵᦔᑣÙᵨ~®⊤ôõᩭ⊤|÷iH/ÄᙠøùmúEFஹWÀûᢣ/EF஺

4Ë᝞W¸iH/MARIN,ᡠᨵḄüᩩᢣ/ᙠT1ᕜÉᑁÅ◤⌕LOADஹADDஹSTOREýᩩᢣ/ᙠT3ᕜÉᑁ&◤⌕þᐸᢣᑣ◤⌕஺ᐵḄ⊤MARIN=T1•(LOAD+ADD+STORE+JMP)+T3•(L0AD+ADD+ST0RE)=Tl+T3•(LOAD+ADD+STORE)o./01234ᑴᘤ78ᵫ:ᔠᵯ=>?@AB4ᑴCDEFGᐸABIJKL,NOPḄ4ᑴᵯ=ᓝᑖSᩖEUVWஹYZ[\]^_ᩭa஺bcdḄ4ᑴᘤVWefghi᡽ᐙ[lᦋEᑣnopq஺ᐸrsḄ.0ᢣ᡻uIJvLEwᵨyRISC{᪀Ḅ}ᘤ~஺@4ᑴᘤ:ᡂ⌕ᵫ4ᑴᘤ(CM)ஹ@ᢣᘤ(uIR)ஹ@ᙢᙬᘤ(UAR)[@ᙢᙬdᡂᵯ=:ᡂ஺微顺地序址@ᑡ(ᜐᳮ控给制定方部式分ᢣ@AB4ᑴ4ᑴṹ@ᙢᙬdᡂ@ᢣᘤ|1IRuᵯ=᝱@ᙢᙬᘤ…ᳮ(1)ᙠ@~ᨵᩩᡈ£ᩩ@ᢣEᐸ@¤¥“§ᢣ”ḄABE©o

5“§}ᘤᢣᵨḄ@ᢣ”Eªy@Ḅ«ᵨᑖ஺ᙠ¬᡻u}ᘤᢣ®Eᐜ°4ᑴᘤ~±§“§}ᘤᢣᵨḄ@ᢣ”E²ᡠᒹµḄ@¶CPU·¸ᘤE±§}ᘤᢣE〈ᐭᢣᘤIR,ᯠglᦋWᦪᘤPCḄᑁ¾஺(2)᪷Á}ᘤᢣ~ḄABṹEÂÃ@ᙢᙬdᡂᵯ=EÄᑮÆÇ}ᘤᢣᡠÈPḄ@ᐭÉᙢᙬ஺(3)⌲ᩩ§sÈPḄ@ᢣEËᩩ@ᢣÌÍÎ@ᑡE4ᑴOᐵḄAB஺᡻ueᩩ@ᢣgE᪷Á@ᙢᙬdᡂÏÐ>?gÑ@ᙢᙬE±§Òᩩ@ᢣ஺(4)᡻ueÈPyᩩ}ᘤᢣḄ@gEÔÕᑮ“§}ᘤᢣᵨḄ@ᢣ”E¬±§Æ᡻uÖᩩ}ᘤᢣ஺4ᑴÏ(1)×@ᙢᙬḄdᡂËᩩ}ᘤᢣᵫÈPḄ@ØÙ᡻uEÇ@ḄᐭÉᙢᙬᡠÚḄ×@ᙢᙬ஺(i)±§}ᘤᢣᙠ᦮Î@~◤VÝÞ«ᵨḄ“§}ᘤᢣᵨḄ@”Eᩭ¤¥±§}ᘤᢣḄAB஺b@ßà°4ᑴᘤḄ0#ᓫᐗᡈᐸᱯåᓫᐗ¬Eb᪵ßààÇᓫᐗᙢᙬo×@ᙢᙬ±§}ᘤᢣ஺(ii)çèéê§s}ᘤᢣgE᪷ÁᢣṹéᣚᡂOPḄ@ᐭÉᙢᙬE©oçèéê஺wìḄᨵ“íçèéê”[“îíçèéê”஺(2)gï@ᙢᙬḄdᡂËᩩ@ᢣ᡻uefgE^⌕᪷Áᐸ4ᑴḄðådᡂgï@ᙢᙬ஺wᵨḄᨵñòÏÆóåÏôcõö஺(i)ñòÏÖ©WᦪᘤÏEᵨ@WᦪᘤuPC(ᓽ@ᙢᙬᘤuAR)ᩭ>?Òᩩ@ᢣḄ@ᙢᙬEø@~ᔜᩩ@ᢣᢥ᡻uû᣸ᙠ4ᑴᘤ~Egï@ᙢᙬᵫ¥u@ᙢᙬýÎñòᩭþᑮ஺UPCḄnÿ1Ḅ⍗ᑮᱯḄᜐᳮ᝞!,uPC'(ᑮ)*ᙢᙬ-.ᙢᙬᵫ)*ᙢᙬ0ᡂᵯ340஺(ii)9:;<-=>“@AB:”D“EF9:”GᔠḄ;<஺ᐹJK@AB:ᙢᙬḄL(Mᑖ,O>ᙢᙬḄPQR(S9:ḄMᑖ,GTᙢᙠᢣWḄXYᑴ[\]^_9:ᩩaᓽᙢᙬR(\Ḅcᡂᩩa஺

6defDghiYᑴᘤGkYᑴᘤ=ᑭᵨna;Kᩭ^pgaḄᢈrst'ᩖᢣWḄYᑴ஺vᐹᨵx᦮ឋḄ{|dfvs}~ᵨḄ;Kᩭ40W>ᢈrᐭCPU,ᵨYᑴᔠYᑴ>ᐭCPU஺Yᑴᘤ᡻ᩩᢣW⌕Yᑴᘤ]ḄᢣWᓽ\ᢣWḄ᡻G“gi”Yᑴᘤᩭ⌕᠒஺YᑴᘤḄ.dfᐸᮣ¢ឋ஺v£¤ᙠp¥¦]§¨;©ᙢª«ᦋᢣW⌕ªᡈ«ᦋMᑖᓽ஺¯°§stᐸ±p¥¦ḄᢣW²³´O§ᙠ=p¥¦~µ=p¥¦ḄᢣWṹ஺-=st·¸¦ᘤᢣW²³Ḅ;<¹Sp¥¦²³Ḅ“ᢣWº»Emulation”஺p¥¦ḄᢣWº»=¼¤¦ᘤna½¾Ḅ;Kv£¤¿ᨵnaÀÁᙠÂÃḄp¥¦]Äŵ஺3ᜐᳮᘤḄ᪀1.8086ᜐᳮᘤḄÊᘤ᪀ÌᵨÊᘤÍÎÀÊᘤᐳᨵ8.ÌᵨÊᘤᢥvÑḄÎÀÒÓÔᑖSÕᓽ“Ìᵨᦪ×Êᘤ”Ø“ᢣ┐ÊᘤÚᙬÊᘤ”஺পÌᵨᦪ×ÊᘤÌᵨᦪ×ÊᘤᵨᩭÜ8(ஹ16(ᡈ32(Ḅᦪ஺ᜧÍᦪ¥rµ¥µ¥ᢣW§£ᵨ-ßÊᘤ஺ᐳᨵ4.Ìᵨᦪ×Êᘤ,vÑEAXஹEBXஹECXEDXo2ᢣ┐ÊᘤÚᙬÊᘤ-᜜4.ÌᵨÊᘤᑖÓᚮ᪘ᢣ┐ÊᘤESPஹãᙬᢣ┐ÊᘤEBPஹäÚᙬÊᘤESIåḄÚᙬÊᘤEDEᢣWᢣ┐ÊᘤEIPInstructionPointer

7EIP.ᵨÊᘤᵨïᙬð◤⌕ò|ḄᢣW[⁚஺CPU´ᑁ]ò|.ᢣW[⁚öEIP÷ø1,ᢣᔣúᢣW[⁚஺ᜐᳮᘤûᙠsü<ú-.ÊᘤSIP(16()ý80386ØÿḄᜐᳮᘤᑣEIP(32)஺ᕒ!"EIP/IP$%&'(஺)Ḅ*+ᢣ-ஹ/0ᢣ-12)3ᜐᳮ!"EIP/IP$%(஺᪗56&ᘤEFLAGSEFLAGSᵨᢣ=ᜐᳮᘤḄ>᝱@AᑴCḄ(஺DEḄ8086/8088ᜐᳮᘤḄ᪗56&ᘤFLAGI16KLMNOᐸ)Ḅ9R80286ᜐᳮᘤSᯠUI16Ḅ᪗56&ᘤVMNḄ᪗5WXYᩭḄ9[\ᑮ12R803862^Ḅᜐᳮᘤᑣ_ᵨ32Ḅ᪗56&ᘤEFLAGS,ᡠMNḄ᪗5bᨵdeḄ᡽ᐙ஺h6&ᘤFSiGSjklh6&ᘤm"803862^Ḅᜐᳮᘤᨵᦔ1opqdeḄkl▬\Ḅ&sᘤh஺2.8086᪗56&ᘤ2vl᪗5Ḅw!8086/8088xy)ᡠMNḄ9l᪗5——0ஹDஹIஹTஹSஹZஹAஹPஹC,jb80x86/PentiuxᑡᜐᳮᘤᡠᵨḄ᪗5஺ᙠj9l᪗5)mᨵ6(ᓽCFஹPFஹAFஹZFஹSFiOF)I>᝱᪗5Rᐸ3(ᓽTFஹIFiDF)IAᑴ᪗5஺>᝱᪗5(1)$᪗5CF(CarryFlag)᡻%ᢣ-ᐸḄᨬᨵ$ᡈCF1RᔲᑣCF0஺(2)᜻Ꮤ᪗5PF(ParityFlag)¢᪗5£᧜(¥8)“1”Ḅlᦪ©ª«IᏔᦪl“1”ᑣPF“1”R«I᜻ᦪl“1”ᑣPF“0”஺(3)¬$᪗5AF(AuxiliarycarryFlag)

8¬$᪗5b¯“°$”᪗5஺AF=1,⊤=²³Ḅ¥4)Ḅᨬᨵ$(\´)ᡈᨵ(µ´)஺AF¶·ᵨBCD)ᔲ$%ᓝ$ᑴ¹᦮Ḅ»¼஺ᓝ$ᑴ¹᦮ᢣ-DAAiDAS½¾jl᪗5஺(4)¿᪗5ZF(ZeroFlag)£᧜ᔲI¿஺«I¿ᑣZF=1R«I¿ᑣZF=0஺(5)À᪗5SF(SignFlag)£᧜ÁÀᦪ(1Â$ᑴ⊡ṹ⊤=)ÀḄ©ª஺«IÅᦪᑣSF=1R«IÆᦪᑣSF=0஺SFḄ'ÇÈÉḄᨬ(Ê⁚(ID7,Ê(ID15,ÌÊ(ID31)'ǶÍ஺(6)ÎÏ᪗5OF(OverflowFlag)£᧜ᨵÀᦪᔲÐÑÎÏ஺«ÐÑÎÏᑣOF=1Rᔲᑣ0F=0oÎÏᢣÒÏOÓÔᡠ!⊤=ḄᦪÇÖ஺×᝞"Ê⁚,ᦪÇ⊤=ÖIT28Ù+127R"ÊᦪÇ⊤=ÖI-32768Ù+32767஺«ÒÚÛÜÖᑣÐÑOÎÏ஺Î϶ÝÞ┯xyeàdeḄᜐᳮ஺×:ᢣÏ80x86CPU᡻%᝞ᢣ-â᪗56&ᘤ)ᔜ>᝱᪗5ḄÇ(1)MOVAX,32C5H(2)MOVAX,0E453HADDAX,546AHADDAX,0C572Häপ0011001011000101(2)1110010001010011+0101010001101010+110001010111001010000111001011111010100111000101ᡠ10F=lSF=1ZF=O0F=0SF=1ZF=OAF=0PF=0CF=0AF=0PF=1CF=1Aᑴ᪗5(1)çᔣ᪗5DF(DirectionFlag)ᵨᩭAᑴé(ᢣ-Ḅ᡻%஺«DF=0,ᑣé(ᢣ-Ḅᙢᙬìí[îïᦋéᦪ¼Ḅñ〈ÚX¥ᙢᙬᑮᙢᙬḄçᔣ$%R«DF=1,ᑣé(ᢣ-Ḅᙢᙬìíµîïᦋéᦪ¼Ḅñ〈ÚXᙢᙬᑮ

9¥ᙢᙬḄçᔣ$%஺ó1ôDFḄᢣ-ISTDõ1öiCLDõ÷0ö஺õ2ö)3᪗5IFõInterruptFlagöᵨᩭAᑴ"óùú)3Ḅûe஺«IF=1,ᑣᐕþCPUIF=0,ᑣCPU஺IFḄᢣᨵSTI$1&'CLI$0&஺IF)'ᑁ+,ᵨ஺$3&◍▟᪗2TF$TrapFlag&8◍▟᪗29:ᓫ<᪗2஺=TF=1>?@ᜐᳮᘤDEᐭᓫ▭Ḅᙢᙬ஺pqᙢᙬ[>ᡠᵨḄᙢᙬ஺[>◤⌕⍝STḄṹᡈᦪᙠ}~ᘤḄᐹᱥᳮ஺᪵ᓄ}~¡¢ḄR᝱¤ᳮ஺ᙠ¥I¦Ḅ§¨©᪀?pqᙢᙬᵫ“¬®”'“°±²”+ᑖ᪀ᡂ஺JU}~ᓫᐗᨵ´NḄᱥᳮᙢᙬ?µᵫ¶Ḅ“¬®”'“°±²”Wᣚ·ᩭ?¸⌕¹¬®'°±²ᦋuV»Ḅ®ᓽ஺¶NUᱥᳮᙢᙬᵫ¶Ḅpqᙢᙬᩭ᪀ᡂ஺ᡈὅ¾?¶NUᱥᳮᙢᙬo¿Upqᙢᙬ»஺

104.“¬Á°±”ḄÂᙬwᑴ“¬®”¬Ḅ,ÄᙢᙬḄÅ16஺“°±²”$offset&9:°±ᙢᙬ?ᡠḄ}~ᓫᐗ̬Ḅ,ÄᙢᙬÍÎḄÏ⁚ÌÑ஺ÒÓ¬®'°±²?Dᙠ}~ᘤÂᙬᡠḄ}~ᓫᐗ஺ᙠ¥I¦?“¬®”'“°±²”ᙳ16Ḅ஺“¬®”ᵫ¬Õ}ᘤCSஹDSஹSSஹESஹFS'GSÚÛ“°±²”ᵫBXஹBPஹSPஹSIஹDIஹIPᡈÞÕ}ᘤḄßᔠáIᩭÚÛ஺â¬Õ}ᘤḄ16“¬®”ã±4$ä⊡0&,æo16Ḅ“°±²”»Á?ᓽçᑮᡠ}~ᓫᐗḄᱥᳮᙢᙬ஺éêᵫ¬®$¬Õ}ᘤḄᑁë&'°±²»©ᔠḄ}~ᘤÂᙬwᑴ9:V“¬Á°±”Âᙬwᑴ?ᡠḄ}~ᓫᐗḄᙢᙬeì⊤îᡂ“¬®:°±²”ḄáGI0ðñḄ¬'°±Õ}ᘤ¬Õ}ᘤ°±ᙢᙬÕ}ᘤò⌕ᵨ⌶CSIPᢣᙢᙬSSSPᡈBPᚮ᪘ᙢᙬDSBXஹDIஹSIஹ8ᡈ16ᦪᦪᙢᙬES÷øᢣḄDI÷øùḄᙢᙬ5.ᚮ᪘ḄÓû?ᚮ᪘Ḅ©᪀?ᚮ᪘Ḅø஺ᚮ᪘Óûᚮ᪘}~ᘤḄNUᱯÓḄ}~ý?ḄNþ$᪘ÿḄ᪘⚔ḄḄᐭᙠḄ⍳ᐜLastInFirstOutḄ-ᑣ஺ᚮ᪘Ḅ1᪀

11ᚮ᪘ᙠ3ᘤ567Ḅᵫᚮ᪘9:ᘤSSᚮ᪘ᢣ┐:ᘤSPᩭ@஺SS:ᘤ5AḄᚮ᪘9Ḅ9BCDEFᚮ᪘9ḄGH@I஺SP:ᘤ5AḄᚮ᪘JKᓫᐗḄNOPSPQᢣᔣ᪘⚔஺ST1᪀Ḅᚮ᪘ᡠV“ᔣXYZḄ”ᓽ᪘]ᙠᚮ᪘Ḅ^ᙢᙬaᚮ᪘bcdSPeᢣᔣ᪘]஺ᚮ᪘9Ḅ9BᙬᵫSS:ᘤEf᪘]஺ᚮ᪘ḄJK6ghXḄᚮ᪘b16@klkᚮ᪘JKᢣmPUSHᢣmᡈPOPᢣmqᚮ᪘ḄJKQrlbᓫ@஺⌕t᪘᡻PUSHᢣmdᐜvSPḄCw2,ᯠv16@Ḅtᐭ{Ḅ᪘⚔஺⌕|᪘᡻POPᢣmdᐜ}a~᪘⚔16@ḄᯠvSPḄC2஺ᭆb''t᪘dᐜᦋ᪘ᢣ┐tᐭ”“|᪘dᐜ|ᦋ᪘ᢣ┐”஺4ᙬᢣ1.ᙬhᢣᢣmḄJKᦪḄJKᦪḄᙬhᢣ/ᙬhḄᱯ஺ᦪᙬh1ᓽᙬImmediateaddressingMOVAL,80Hᢣm5¡¢JKᦪJKᦪ£¤ᙠJKṹ¦KbᢣmḄ§ᑖAᙠ©ṹ9ªᙠᢣmḄ«d¬eFJKᦪᓽᨵJKᦪᵨᡠr¯¦bᓽᙬ஺ᓽᦪrb8@¬rb16@஺᝞±16@ᦪᑣ“^@l⁚Aᙠ^ᙢᙬ5³@l⁚Aᙠ³ᙢᙬ5஺”ᓽᙬh´ᵨµ:ᘤ¶CᵨµJKᦪ·fᵨµḄJKᦪ஺2:ᘤᙬRegisteraddressingMOVAX,BX

12JKᦪᙠ:ᘤ5ᢣm5ᢣ»:ᘤ¼STᙬh½:ᘤᙬ஺qµ8@JKᦪ:ᘤrAH,AL,BH,BL,CH,CL,DH,DL஺qµ16@JKᦪ:ᘤrAX,BX,CX,DX,SI,DI,SP,BP,CS,DS,ES,SS(¿CSfKḄ:ᘤ)஺ÁÂJKᦪf9:ᘤ஺JKᦪeᙠ:ᘤ5f◤⌕ÄÅ3ᘤᩭÆJKᦪ(ᢣm᡻dJKeᙠCPUḄᑁ§)È·᡻ÉÊË:ᘤ¼ᑁᙢᙬÌ஺◀rÎÁTᙬh᜜X☢ᔜTᙬhḄJKᦪᙳᙠ3ᘤ5ÓÔÕᵨf«ḄᙬhÆJKᦪᙢᙬ}·ÆJKᦪ஺(3)¡¢ᙬ(DirectAddressing)MOVAX,DS:[2000H]ᙠ¡¢ᙬh5ᢣm5¡¢JKᦪḄᨵᦔᙢᙬᡈὅØᨵᦔᙢᙬEAeᙠᢣm5஺D(JKᦪḄᨵᦔᙢᙬ·fJKᦪÙÚ)Aᙠ©ṹ95ᢣmḄJKṹ¦¿JKᦪÛAᙠᦪ95஺ÜÝJKᦪᢣmfÁÂJKᦪᵨ¡¢ᙬh஺(4):ᘤÞ¢ᙬ(RegisterindirectAddressing)MOVAX,[BX]Õᵨ:ᘤÞ¢ᙬhdᢣm5:ᘤ¼(:ᘤbBX,BP,SIDI¦)ßᢣḄ:ᘤ5AḼJKᦪḄᨵᦔᙢᙬJKᦪᙠ3ᘤ5(r:ᘤḄᑁábJKᦪḄᨵᦔᙢᙬ)஺BXqâ9:ᘤbDS'BP——SS'SI——DS'DI——DS஺(5):ᘤãqᙬ(Registerrelativeaddressing)MOVAX,[SI+3000H]JKᦪḄᨵᦔᙢᙬÂBᙬᡈäᙬ:ᘤḄᑁáåᢣm5ᢣḄ8@ᡈ16@@OP(Displacement)¦஺STᙬhᵨµ⊤çḄᜐᳮÓÔ@OPᩭêI⊤çḄ✌ᙢᙬᑭᵨᦋBᙬ:ᘤᡈäᙬ:ᘤḄᑁáᩭíÆ⊤⚗ḄC஺(6)Bᙬäᙬᙬ(Basedindexedaddressing)MOVAX,[BX+DI]JKᦪḄᨵᦔᙢᙬÂBᙬ:ᘤÂäᙬ:ᘤᑁá¦ÁÂ:ᘤᙳ

13ᵫᢣmᢣ஺STᙬh«᪵〉ᵨµ⊤çᡈᦪñḄᜐᳮ⊤Ḅ✌ᙢᙬAᙠBᙬ:ᘤ5·ᵨäᙬ:ᘤᩭÄÅ⊤ç5Ḅᔜ⚗஺ᵫµÁÂ:ᘤrᦋòᵨGᩭóᮣõ஺7ãqBᙬäᙬᙬRelativebasedindexedaddressMOVAX,[BX+SI+0250H]¬¯“ÿḄᙬᙬᙬ”ᦪḄᨵᦔᙢᙬᙬᘤᙬᘤᑁ8ᡈ16!஺#$ᙬ%ᵨ'()*+ᦪ,஺-ᦪ,ᐗ/ᙠᑁ1ᢥ34567✌ᐜ6:3ᡠᨵᐗ/<6:*3ᡠᨵᐗ/……>஺?@ᦋᙬᘤ7᝞BX>ᙬᘤ7᝞SDḄG%H()ᦪ,1IJ3ᑡLḄᐗ/஺MᙢᙬḄᙬ71>NᑁOPM7OPQM>JMPLMᙢᙬ7?WXQ᪗Z>OPᒹ\ᙠ]^_ḄMᢣa1MᢣaḄbᘤcṹ16Ḅe᪗ZfgIPGḄi7᪗Z-IP>,limneMᢣao'cṹNpq6ᙠMᢣaḄṹ!rs“uv⁚6ᙠuᙢᙬᓫᐗyv⁚6ᙠyᙢᙬᓫᐗ”஺ᙠzMᢣa{lᓽnMᢣaḄ}ᑖqzᐭCPU1஺᡻3Mᢣa{#ᑮIPLᓽ%ᑮMᙢᙬ7᪗ZḄG>ᓽIP+7᪗ZTP>=᪗Z=Mᙢᙬ஺In#Mᙢᙬ<()ᘤᡈᘤ஺72>NᑁPM7PQM>জᘤᦪ7smᘤᙬ>JMPBXঝᘤᦪ7smᘤᙬ>7i>OPᙬJMPWORDPTRDS:[20]ᐸᱯpMᙢᙬ7ᙢᙬ}ᑖ>ᙠᦪᓫᐗ1ᦪᓫᐗḄᙢᙬᙠᢣa1OP஺

147ii>ᘤPᙬJMP[BX]ᐸᱯpMᙢᙬ7ᙢᙬ}ᑖ>ᙠᦪᓫᐗ1ᦪᓫᐗḄᙢᙬᙠBXஹBPஹSIஹDI!1஺7iii>ᘤ¡¢ᙬJMP[BX+20]ᐸᱯpMᙢᙬ7ᙢᙬ}ᑖ>ᙠᦪᓫᐗ1ᦪᓫᐗḄᙢᙬᘤ7BXஹBPஹSIஹDI!>ḄᑁḄ஺7iv>ᙬᙬᙬJMP[BX+SI]ᐸᱯpMᙢᙬ7ᙢᙬ}ᑖ>ᙠᦪᓫᐗ1ᦪᓫᐗḄᙢᙬᙬᘤ7BXஹBP!>Ḅᑁᙬᘤ7SIஹDI!>Ḅᑁ!஺7v>ᙬᙬ¡¢ᙬJMP[BX+SI+201ᐸᱯpMᙢᙬ7ᙢᙬ}ᑖ>ᙠᦪᓫᐗ1ᦪᓫᐗḄᙢᙬᙬᘤ7BXஹBP!>Ḅᑁஹᙬᘤ7SIஹDI!>Ḅᑁ¤Ḅ஺73>NOPM7OP¦M>JMPFARPTRLMᙢᙬ7?WX¦᪗Z>OPᒹ\ᙠ]^_ḄM©ᢣa1sOP6ᙠM©ᢣaḄbᘤcṹ1ªM©ᢣaI%ᑖᒘḄ}ᑖ'M©ᢣaḄṹ!r஺uv6MᙢᙬḄNG}ᑖyv6MᙢᙬḄᙢᙬ}ᑖ஺ᙠzM©ᢣa{lNGᙢᙬnM©ᢣaḄ}ᑖqzᐭCPU1᡻3M©ᢣa{InMᙢᙬ<()ᘤᡈᘤ஺74>NPM7P¦M>JMPDWORDPTR[BX+SI+2O]NPM{ḄMᙢᙬᒹ\ᙢᙬ}ᑖ®ᒹ\NG}ᑖᙠᦪᘤ1ᓰ°vpyvᓫᐗ6ᙢᙬuvᓫᐗ6NG஺

152.ᢣa±²³៉µ¶8086ᢣa±²WᵨᢣaḄ·¸¹7?@^ºᡈ▅¼º5ᩭ¾¿>;ᩩÂMᢣaP120ÃÄÅÆᢣa;Çᢣa7ÇÈ〈ᢣaM0VSBXLATᢣaÊCMPᢣaÊTESTᢣa஺*ᓝÍᑴÏ᦮ᢣa(DAA,DAS;AAA,AAS,AAM,AAD)0*ᢣaḄbᘤṹ·஺

165ḄÑÒ1p᪷Ô☢ḄᦪÖ×pORG10HDAT1DB10DUP7?>DAT2EQU12HDAT3DW56H,$+10ßÖᦪ⚗$+10ḄG஺$pᙢᙬáᦪᘤḄfgGÊ0RG:ᢣÖÔ⌕ᵨḄᓫᐗḄᙢᙬ஺DATASEGMENTTABDW1,2,3,4,5,6ENTRYEQU3DATAENDSCODESEGMENTASSUMECS:CODE,DS:DATAL:MOVAX,DATAMOVDS,AXMOVBX,OFFSETTABADDBX,ENTRYMOVAX,EBX]CODEENDSENDLLæº5᡻3rAX=:çÖ×DATDD12345678H,ᑣ7DAT+1>v⁚ᓫᐗḄᦪ7>A.12HB.34HC.56HD.78H⌕ë¹ì▅¼^ᑏî᦮Ḅ]^ïðº57DOSñ᛻Ô>ᒹó:ᦪNஹᚮ᪘NஹcṹNḄÖ×Ê

17º51¹ìᑨ÷ᨵøᢥÔ{⌨fgº5úûüDOS(16HBIOS1ýÏᵨḄ1Z¸¹DOS¸¹ÏᵨḄ4cHZ¸¹)7ᜐᳮᘤḄᑁ"᪀#᜜"%&ᱯឋ1.PentiumᜐᳮᘤḄ᪀ᱯᓫᐗBIU(BusInterfaceUnit)ᜐᳮᘤᐸḄᱥᳮ☢஺!"☢#$%ᜐᳮᘤᐸ&'Ḅᦪ)*ஹᙢᙬ*./0ᑴ234Ḅ5〈஺7᜜#BIU9ᨵᙢᙬ;<ஹᦪ);<ஹᕜ>0ᑴ/?@AB⚗DE஺PentiumᜐᳮᘤḄ᜜ᦪ)FG

18H64K#LMNᘤ&'Ḅᦪ)5O᳛QR528MB/S஺ᵫ[PentiumᜐᳮᘤᑁḄdefgᓫᐗALU(ArithmaticLogicUnit)tuMᘤḄFGv32KḄ#ᡠ.Lvy[32Kᜐᳮᘤ஺PentiumᜐᳮᘤḄᙢᙬKᦪH32K#ᓽLḄ{|ᙬᱥᳮᙢᙬ}'H232=4GBOᢣ3Cacheᦪ)Cache,Q.CPUᙠḄMNᘤᩩḄMNᘤ'#ḄឋE஺PentiumᜐᳮᘤḄCache80386t80486ᨵᜧḄ#Lᵨ᪀஺¡CacheᑖH“ᢣ3Cache"t“ᦪ)Cache”ᑖ¦§#¨©ª«¬¬§Cache®¯MNᘤ°±Ḅ%²஺Pentiumᒹ´µ"8KBḄCache,"H8KBḄᦪ)Cache,"H8KBḄᢣ3Cacheoᢣ3Cache·MNᢣ3#©ᦪ)Cache·MNᢣ3ᡠ◤Ḅᦪ)#!µ"CacheQ.¹º஺ᙠ·ᨵḄ»MḄᜐᳮᘤ(᝞80486)#"ᦪ)½¾Ḅ¿ÀÁÂÃᓰÅ»M#ÆÇÈᨵ}'ᵨ[ᢣ3»M,!ÂÉÊᜐᳮᘤḄ᡻G஺ᙠPentiumÂî¯!ÌÍÎ#ÏHLᨵᓫÐḄᢣ3CacheoÑÒÓ᪗»°ᘤBTB(BranchTargetBuffer)<᝱⚜Ù¿ÀḄÑÒÚº஺ᙠ¿À᡻#ÛÜᩩᢣ3ÝÞÑÒ,ßàá!ᩩÑÒᢣ3Ḅᙢᙬ/ÑÒÓ᪗ᙢᙬ,ᵨ!"*ᩭ⚜Ù!ᩩᢣ3ᙠ㮯ÑÒḄäå#⚜ᐜ¨!çàèḄ“ÑÒÓ᪗ᙢᙬ”ᜐ⚜éᢣ3#.êëìíḄᢣ3⚜éÃ}§஺ᢣ3⚜éᘤt⚜é»°ᘤ#ᢣ3⚜éᘤᢥïðḄᢣ3ᙢᙬ#¨ᢣ3CacheñÀéòᢣ3óᐭ⚜é»°ᘤ#{ᑮᙠᢣ3öṹ▤ù⍗ᑮᩩÑÒᢣ3⚜ÙLᙠᢣ3᡻▤ùû®¯ÑÒHü஺ᢣ3öṹᘤû⚜éᩭḄᢣ3öṹ#.ýþÿᢣḄ஺᡻ᓫᐗEU,ALUᡂUஹVᩩᢣḄ!"#஺$%ᜐᳮᓫᐗFPU*+ᵨᩭᜐᳮ$%ᦪᡈ0$%#12$%#ᘤ஺4ᑴᓫᐗCUḄ789:;4ᑴ᦮=ᜐᳮᘤᢥ᯿@AḄBCDE@F@FᡂᢣḄ஺2.ᢣḄIᱯ%

19“M᪗O”Q᪀;PentiumᜐᳮᘤYZᢈḄ᪶஺]pipeline`ab;c@deḄDEᑖghijDEkjDElmᐸojDEp0ḄIab஺qᵨᢈYZḄ=ᜐᳮᘤckᩩᢣᑖhirCḄ]᝞tᢣஹuṹஹ᡻w`kᑖxᵫz{Ḅᜐᳮ|}]᝞tᢣ|}ஹuṹ|}ஹ᡻|}w`ᩭᡂ஺~᪵᪀ᡂḄ=ᜐᳮᘤl{Bᜐᳮᩩᢣ஺qᵨᢈlZ᡻ECḄpᜐᳮ|}Ḅᵨᦔ᳛஺PentiumᜐᳮᘤḄᵫᑖx2“U”“V”Ḅᩩᢣ᪀ᡂ]Q᪀`ᐸkᩩᨵḄᙢᙬᡂ!"ஹALUᦪCache஺PentiumḄk@ᩩ1;ᑖ▤]5`“ᢣ⚜t”ஹ“ᢣuṹ”ஹ“ᙢᙬᡂ”ஹ“ᢣ᡻”“¢ᑏ”஺Pentiumᜐᳮᘤ¤¥Ḅ;ᩩḄp¦kᩩᵫ᪀ᡂ஺§¨kᜐᳮ|}ᩭ©kᩩᢣḄ{ª]᝞tᢣ`«¬@᪵®¯Iᜐᳮ஺3.=ᜐᳮᘤᐺ²³´Ḅµ2ஹ9:ஹaᔣᢥ9:Ḅz{l·~132³´¹ºᑖᡂ4¼½¾ᘤ/I0ஹÂஹDMAஹÅᜐᳮᘤ஺µ29:aᔣᨵᦔᵯÇCLK2ÉÊBËÌᐭ—AI~AᙢᙬÏÌЗ32BE~BEOÑ⁚ᐕÔÌÐ03D-D„ᦪÏÌᐭ/ÌЗ3IBS1616ØÏÙÌᐭ0W/Rᑏ/ÜᢣÝÌÐ1/0D/Cᦪ/4ᑴᢣÝÌÐ1/0M/IO½¾ᘤ/I0ᢣÝÌÐ1/0ADSᙢᙬß᝱ÌÐ0READY«âÌᐭ0NAä@ᙢᙬåæÌᐭ0

20LOCKÏç┝ÌÐ0INTRÂåæÌᐭ1NMIêëìÂåæÌᐭ1RESETÉÊeØÌᐭ1HOLDÏîᢝåæÌᐭ1HLDAÏîᢝðñÌÐ1PEREQÅᜐᳮᘤåæÌᐭ1BUSYÅᜐᳮᘤóÌᐭ0ERRORÅᜐᳮᘤ┯Ìᐭ0M/IO¹ºᐸ9:;“½¾ᘤ/I0ᢣÝ”ᵨõö᜜|ᵯøùú=ᜐᳮᘤ;ᙠüý½¾ᘤþ;I/OÿḄ〈ᔣᓽᵫᜐᳮᘤḄ;Ḅᨵᦔᵯ1/0,ᐸ!ᙠ#$%&Ḅ'(1ᵯ⊤*CPU./ᙠ0123ᘤ4'(0ᵯ⊤*ᙠ01I/O78஺INTR=>?@ABCᐭᐸᨵᦔᵯ'(1஺᜜FGᜓᑭᵨ#$KLᜐᳮᘤM◤⌕PᑮRS஺INTRᐭ=>?ḄᓽḄTU=VKWᜐᳮᘤᑁFḄ᪗Z[2ᘤ@Ḅ“@A᪗Z]"(IF)bVᐕdᡈἭg஺NMIi>?@ANMIᐭj=>?Ḅ@Aᐭ஺k⌕ᙠNMIlm&n0ᑮ1Ḅopjq@A᪗ZIFḄr᝱᝞uv$@ARSBCwxyᜐᳮᘤᡠ7{஺ᙠ᡻}~./ᢣvxᑮi>?@ARSḄᐭ8ᜐ஺ᙢᙬᦪw%80386DXḄᙢᙬw%A31-A2ᦪw%D31D0yᑖGᙠjḄlm&஺]ᙢᙬṹA1A0y80386DXᑁFṹ⁚ᐕdBE3,BE2ஹBE1BEO,V¡ᑴᙠw%&〈⁚ஹᡈ£஺ᙢᙬw%%஺Mᵨ¤〈¥CPUᑮ23ᘤᡈI/O78Ḅᙢᙬ¦஺ᙠ§¨©ª,20]ᙢᙬ«¬80386DXᙬ1M(22O)⁚ᱥᳮᙢᙬ¯°Ḅ±²³4ᙠ´µ¨©ª32]ᙢᙬ=Vᙬ4G(232)⁚Ḅᱥᳮᙢᙬ¯°஺

21ᦪw%ᵫ32ᩩᦪ%D31DO᪀ᡂ஺ᵫ»7.6¾⊤7T=V¿ᑮᦪw%£ᔣḄᓽᦪÀ=Vᵫ23ᘤᡈI/O78ᐭ«CPU,Á=VᵫCPU«23ᘤᡈI/O78஺ᙠᦪw%&〈ᦪḄÂÃÄ23ᘤÅ/ᑏḄᦪᡈᢣÇṹஹÄ᜜FGᜓᐭ/ḄᦪV¾ᩭÉ@A¡ᑴᘤḄ@AÂÃṹÊ஺)⁚ᐕ,⁚ᐕdËÌᵯᨵᦔÍᦪw%D-D„BE஺7BEtDl5~DxBE2DZ3~D16BEϳD1~D432READYREADYᵨ¤ÑᐭÊÒr᝱ËTwÍᑮ./w%ᕜÕ@VÖKW×ØÙÚᕜÕᦪÛÜPᑮ᡽Þ஺KWßREADYp'(0,23ᘤᡈI/O78=Vàá80386DXMâãäᜓåᜐᳮᘤ=V~ᡂᦪ〈TU஺HOLD.v$᜜FᵯèË᝞DMA¡ᑴᘤÍéêëìw%¡ᑴᩗÙîKWßHOLDᐭp'(1ᩭKL./Ḅw%ï80386DX»HLDA80386DX᝞ñòóôw%¡ᑴᩗËõᙠ᡻}öLOCK/øḄᢣÍ,îᙠ᡻}~./w%ᕜÕÛùᐵḄw%ᐰFpüý᝱Ëþÿ᝱HLDAᑮ1ᵯᩭ᜜ᵯ!ᑴᩗ஺80386DX+ᢝ-./᝱01“3ᢝ45”789:0,<=80386DX“3ᢝ>?”@:0,ABᦈD!ᑴᩗ஺*FG

228I/O-.DMAᢈ01.I.I/OMNOᙬQRḄTUVWXOᙬ@YZ[ᘤ᧜^ḄI/O(MemoryMappedI/O)QR-.OᙬQRḄTVjklᵨnᔣZ[ᘤḄᢣqᩭnrI/OMNsnrZ[ᘤḄᢣqtuvwxyzᨵX|Ḅ}〈ᢣqᨵஹᢣqlᔜ.ஹvwᢣqkl0I/OMNᑁḄᦪᜐᳮ஺᝞XZ[ᘤ᧜^ḄI/OMNᙢᙬ:3000H,ᑣkl0ᵨᢣq“ADDAL,DS:[3000H]”MNḄᑁ஺UVjᵫ¢I/OMNᓰᵨXᑖZ[ᘤᙢᙬ¥¦§s¨ᵨᡝḄZ[ᙢᙬ¥¦ª«¬®᜜ᵫ¢ᑭᵨnrZ[ᘤḄᢣqᩭI/O°±ᢣqḄ²³´vᓫ¶I/Oᢣq⌕²§sᢣqḄ᡻F¦@w²஺ᑖ¹Oᙬ@YI/O᧜^ḄI/O(I/OMappedI/O)QR-.OᙬQRḄTVjºXI/OMNyᓰᵨZ[ᘤᙢᙬᦑy¼«½ᵨᡝḄZ[ᘤᙢᙬ¥¦º¾ᓫ¶I/OᢣqḄᙢᙬṹwÀᙢᙬÁṹQÂI/OᢣqÀ᡻óĺÅÆᵨᓫ¶ḄI/Oᢣq¨ÇGÈI/O°±ÉᐸË°±ÌÍÎᨎ¢ᳮÐ஺-.OᙬQRḄUVjºXᓫ¶I/OᢣqḄtuᨵ▲ÒuMNᦪᐭ/°±yu0ஹvwᐸË°±º¾ᵫ¢ÆᵨÔᵨḄI/O°±FGI/O!ᑴ§sÕÖ×ᜐᳮᘤØÙ!ᑴḄÚᩖឋ஺2.Å.I/O!ᑴQRḄᱯVÇG!ᑴQRÇG!ᑴQRjᢣᙠÇG!ᑴàḄᦪ}〈QR஺áᑖ:âᩩä}〈ÉÇGåæ}〈I.஺(1)âᩩä}〈QRTV!ᑴÇGçᓫ஺UVèéᙠ᜜êëᜓíḄîïàðu¨ᵨᔲᑣ}〈ò¼┯஺ফÇGåæ}〈QRTVëõஹk☠஺

23UVᓰᵨᜧøF¦ùúᵨ¢}〈ᦪḄF¦ᓾü½஺ÇGåæQRḄýþUVCPUḄᑭᵨ᳛஺CPU⌕ᙢ᝱᝱᝞᜜ᜓCPU⌕!"#$%&'()*+,-ᑴ/01I/OᜐᳮḄ⌕7᝞89/0ᨵ;9᜜<=CPU>(?@1A9᜜BC#$DEF᜜ḄGHIJKKLMNᜧ஺E,CPUNP)*ᔜ9᜜RS1CPUTUḄVᐭ/VUXY⌕7஺Z-ᑴ[\]^_#$[\`aZ-ᑴ[\Ḅᦪcdᣚᐹᨵ᝞gᱯij(1)TnoCPUḄGHᦔ᳛'(2)᜜sᜓᐹᨵᵭuXYḄvwᩗ'(3)CPUz{᜜|CGH'(4)z〉ᔠ+,/01I/OᜐᳮḄ⌕7஺DMA[\◤⌕CPU⚜(◤CPU᡻C^_ᢣ)ᙠ-ᑴᵯ-ᑴgBCḄ᜜]ᘤᦪc〈Ḅ[\ᘤ(DirectMemoryAccess)¤DMA[\஺3.DMAᢈ§DMACḄ¨᪀(P243)

24DMAGHª^(1)I/O«ᔣDMA-ᑴᘤ〈DMAu7®¯'(2)DMA-ᑴᘤᔣCPU°±u7®¯²³ᑮCPU〈ᩭḄ°±ᐕ·®¯¸DMA-ᑴᘤ¹³°±-ᑴᩗ'(3)DMA-ᑴᘤºᐸᙢᙬ½ᘤḄᑁ¿〈ᑮᙢᙬ°±À஺(4)DMA-ᑴᘤKI/O«〈DMAÁ®¯,|ḼUI/O«®¯IOR,I/O«Æᦪc〈ᑮᦪc°±À'(5)DMA-ᑴᘤUᘤᑏ®¯MEMW,ºᦪc〈ᑮᵫᙢᙬ°±ÀḄᙢᙬᡠᢣᔣḄᑁᓫᐔ'(6)DMA-ᑴᘤÐÑ1°±Ḅ-ᑴᩗ'(7)ᙢᙬ½ᘤÓ1'(8)⁚Öᦪ½ᘤ×1'(9)᝞⁚Öᦪ½ᘤḄÙÚᑣÜÝÞ8ßᔲᑣ¨á஺*8237Ḅãäᓄæ^

25912#123ᑴᘤ1.ZḄçèᙠ^_éC,/0᜜êஹᑁêᡈíC^_îïðUíñញóᜐᳮᘤôõöᓽøCZùíC^_ḄéCᦋûSᘤḄGH᝱|üw`ÂḄ^_ᩭᜐᳮEFóᯠ¸þឮᩭḄ஺ᜐᳮḄ᝞(1)ᐵCPUᙠ!"#$%INTA,+!ᑁ-./ᙢᐵ1Ἥ345ᐸ7Ḅ89஺ফ;<=>=ᜐḄᢣ@ᢣ┐IPBCSBDᐭᚮ᪘1HᜐᳮIJKLMᙢNOP=஺(3)RSTCPU⌕V89WᜐᳮXYZᑮ\Ḅ]^Ḅᐭ_ᙢᙬabḄRS஺(4);defghH]^ḄiPḄj᝱XY>=ᜐᨵᐵm<ᘤ(ᢣᙠ]^⌕HᵨḄm<ᘤ)Ḅᑁp1q᪗sm<ᘤḄj᝱Dᐭᚮ᪘;d஺ম᡻]^ᙠ᡻]^vᙠ〉x!yz{|}1~ᐕᐜḄ஺(6)ឮefNOᓽ>]^᡻Dᐭᚮ᪘Ḅef$Om<ᘤᯠJ᡻NOᢣ@NOP஺ᙠqᜐᳮḄ6⚗3⚗bᵫIᡂJ3⚗bᜐᳮ¡¢bᵫᵨᡝᡈ¥¦ὅ¨ᑴḄᜐᳮ(ª)Iᡂ஺2.Ḅᑖ¯

26᪷±WᐭḄ²³vᑖ.´µ¶஺᪷±ᐸz⌕ឋvᑖv¸¹hv¸¹஺᪷±TḄº»vᑖᑁ-᜜-½½஺3.¯¾ṹஹᔣÂqᔣÂ⊤ᡠÅᔣÂ(interruptvector),Ð▭ab]^Ḅᐭ_ᙢᙬÒÓ¯¾VÓᔣÂ஺ÒÓᔣÂᓰ4Õ⁚Ḅ<×ᓫᐗ஺ᐸÚÓÕ⁚ᓫᐗ<}]^ᐭ_ᙢᙬḄÛÜÂ(IP)ÝÕ⁚ᙠÕ⁚ᙠJJÚÓÕ⁚ᓫᐗ<}]^ᐭ_ᙢᙬḄÞßB(CS),àbÝÕ⁚ᙠÕ⁚ᙠJ஺80x86Ðä³>]^ᐭ_ᙢᙬ$¥»ᙠ<×ᘤḄᨬÝæᓽ00000Hè003FFHḄ1KÕ⁚<×ëì஺<×ëìaíᔣÂ⊤஺ᙠᔣÂ⊤ᔜᔣÂᢥ¯¾ṹ0ᑮ255ñ<}஺᪵ó⍝g¯¾ṹõöav÷#\ᔣÂḄ<}º»ø#ᔣÂ஺ÒÓᔣÂḄᙢᙬvᵫ¯¾ṹù14¦÷#ᩭ஺CPU!ú⌕>¯¾ṹNûÜ2º(ù14),ᓽvüᑮᔣÂᙠᔣÂ⊤ḄVᙢᙬ4N(ýᔣÂᡠᓰ4ÓÕ⁚ᓫᐗḄþÓÕ⁚ᓫᐗḄᙢᙬ)஺ᯠJ>ᵫÿᙢᙬḄ⁚ᓫᐗḄᑁᐭIPᘤIP-(4N,4N+1),!⁚ᓫᐗḄᑁᐭCSᘤCS-(4N+2,4N+3)0'()*+ṹ-20H,ᑣ()0123Ḅᐭ4ᙢᙬ5ᙠ()ᔣ8⊤:00000080HḄ4⁚ᓫᐗ(஺=>4⁚ᓫᐗḄᑁᑖ@-(00000080H)=10H(00000081H)=20H(00000082H)=30H(00000083H)=40HBᢣDEFḄ()0123Ḅᐭ4ᙢᙬ஺'()*+ṹ-17H,=()0123Ḅᐭ4ᙢᙬ-2340H:7890H,BᢣD()ᔣ8⊤(5J()ᔣ8Ḅ4⁚ᓫᐗḄᙢᙬKᑁ஺

274.80x86OPQ()ḄRFSᜐᳮV2WRFXYZ()[\YZ()Ḅ]^_5.Xa2()bᑴᘤ8259Aefghiᓫᱏ[kὶmᵨiICW2,0CW1,0CW2

28105678#ᐸ-.ᵯ;8255AḄa2FᵨWpQ0:q4rᐭstquᜐᳮv:wq4〈D;z{|bᑴ}஺11<678#ᐸ-.ᵯ;1.~{Ḅefᭆᦪᙠᓫᩩ1Ḅᢥᐜvqqᙢ〈.⁚ḕW_sᦪ᳛W_s⌕〉ᵨஹ᳛Ḅ{(஺pQ

29^pQ^¡WSYN1_-^¡WSYN2_-ᦪWDATA_¥ᱯ᳛[¥ᱯ᳛§¨ᦪ᳛©ªḄ«¬ᑴᦪsᓫ-bpsWbitpersecond¸¹ºᱯ᳛஺¥ᱯ᳛©ªḄ“¡¼”W¸¹¼ṹᐗqSignalElement_Ḅᦪ஺ᙠÃÄÅ(sq“¡¼”ḄÆÇ-!ஹÈᵯÊsᑖ@Ë⊤ÌÍΓ1”S“0”sᡠЩ¡¼ḄÑ8-1ºᱯsÒ¥ᱯ᳛[ºᱯ᳛ÓÔqÕ஺FW×⚣᳛_=¥ᱯ᳛§¨*¥ᱯ᳛¥ᱯ᳛§¨ᦪ᳛W¥ᱯ᳛_[×⚣᳛ÚḄº'Ûᦪ஺Üu×⚣᳛s⌱Þ]^Ḅ¥ᱯ᳛§¨Xßᑮ]^Ḅ¥ᱯ᳛஺'᝞f=19.2kHz,=⌱¥ᱯ᳛§¨-16,ᑣ¥ᱯ᳛-1200bpso=⌱u¥ᱯ᳛§¨S¥ᱯ᳛sᑣEFḄåuæç×⚣᳛Ḅ⌕è஺8253ÃᦪéÎWᑖ⚣Ûᦪ_=×⚣᳛/W¥ᱯ᳛*¥ᱯ᳛§¨_஺*~{ë4᪗íRS-232c}஺2.8251AḄa2Fᵨïᑁ(ḄqᦪᙽWÜuñᙢᙬSò_{V8251AÐóôpQõ〈DöiçpÐóôpQëᦈ5ᐭᢣuḄᑁᓫᐗ(஺8251AXᑖ-5øᑖW1_ëᦈᘤsW2_õ〈ᘤsW3_ᦪùúûᘤsW4_üᑴýüᘤbᑴᵯþsW5_rᑏᑴᵯ஺

3012=>?ᘤ/ᦪᘤ#ᐸBᵨ8253Ḅᵨᑭᵨ8253ᕜᾭḄᕜឋᾭ஺ᢥ"#$ᢥ%&ᐵ#ᢝ)*+ஹ#⚣᳛Ḅᾭ/0ᘤ஺

3113DEᘤ#ᐸ-.1.SRAM2DRAMḄᱯ4SRAM☟᝱RAM78ᵯMOS9:;<=ᡂ?@

32?Õz«ṹ<ᑣ#F78ᓫᐗ¯ᨵ20Fᙢᙬ2ᐸ~஺Ù3Ú³⌱¨©³⌱¨©O¯ᙢᙬ²³Ḅ@ᙢᙬ?ÛÜ«ṹ<´µ¯ÝÞ`¤ᱏ⌱µ·ᔜ78¸ᱏḄᱏ⌱»ᐭà<ᓽᵨ³⌱¨©<᪷l?◤⌕ᵨᱏ⌱«ṹᘤ஺ᙠᵨ³⌱¨©Ḅ78fgh<ãäåDæçèᙠ78ᘤéᙬ*ᱏ⌱³hÆ}ᨵ#ᨵᦔÙê᝞뤓0”Úᙢᙬ@஺4.$ᘤ56ḄBC;<$Dᱏ᪀ᡂG<%&Hᱯ<ᙢᙬ@Ḅ$=/0஺

335.Cache,CacheQᑴᘤ82385(1)X'82385“Z5᧜\”H“^_`aὶ”Ḅ“ᑁcCachede”Ḅfg“Z5᧜\”Cache$▣ᑡjk᣸ᡂGmᓫGḄ64Kq⁚$stujvᡂ64KḄ⚓xᑡ᪗z⚓஺{⚓n஺uḄᡠᨵ⚓Ḅa&Ḅ$ᓫᐗ(᪗zX(0){X(n)),ᙳ᧜\ᑮCache$▣ᑡ᪗zXḄGm$ᓫᐗ஺uḄGm64Kq⁚⚓Ḅmᓫᐗ᧜\ᑮCache$▣ᑡḄᔜmᓫᐗ஺4GBuvᡂᵫG/ᑡ32KB(8Kmq----)Ḅ⚓`ᡂ஺%᧕:ᐳᨵ4GB+32KB=128K=2*⚓஺0⚓{2”-1⚓⚓◤17£¤¥ᑴ⊤§஺¨Cacheᑖᡂ1024`(set),`«8(8mq)GuHCache¬G®¯Ḅ°±&஺CacheḄG`Gm26£Ḅde⚗1024mde⚗`ᡂGmCache³de஺Cachede⚗ᒹ«17£Ḅ“᪗µ”(TAG),1£“᪗µᨵᦔ£”8£“ᨵᦔ£”஺“᪗µ”Ḅ¹32KḄ⚓Ḅ⚓஺“᪗µ”ᵨ17£⊤§஺A31A15A14A5A4*217F᪗HI10FJᙢᙬ3F6⌱M----------------------------------------------------)\__________________________/V------Y------'yNOD128K(2”)⚓N1024J1⌱QRNS1⌱1⌱Q⚓K_________᜛VNCache8RT)1⌱QUT)(6)“^_`aὶ”64Kq⁚ḄCache$▣ᑡᑖᡂº^m32Kq⁚Ḅ$sᓽCachejᑖz^_BANKAHBANKB஺ujvᡂᜧ(¾#CacheGmBANKḄ⚓xᑡ஺¿ᵫ#ÀÁGmBANK32Kq⁚ᡠÂuḄ⚓ᦪZ5᧜\Ḅ^Ä஺᪵u⚓ᱯ<&Ḅ$ᓫᐗÆ᧜\ᑮBANKAᡈBANKBḄa$ᓫᐗ஺È᝞X(2)ᓫᐗÆ᧜ᑮX(A),Æ᧜\ᑮX(B)஺ÊË^_`aὶḄ`ÌÆÍÎ'ÏḄCacheÐÑ᳛஺ÓËCacheQᑴᘤ'Ôᩖ஺uÖz4GB,Cachez32KB,ᑖzA_HB__16KB஺mq(32£)zG8mqzG`×À_ᨵ512`஺u⚓Ø☢Úᜧ(z16KB,4GBḄuᑖz256K⚓஺

3482385ᑁcḄCachedeᐳ«512X2mde⚗஺mde⚗27£ᐸ18£᪗µÂÜᑖ256Km⚓Ý1£᪗µᨵᦔ£ÝÞ᜜8£zᨵᦔ£஺um“$⚓”àᜐ#a£Ḅ#Cache^_ḄᔜGAᡈB஺A31A14A13A5A4A218F᪗HI9FJᙢᙬX6⌱M-------------------------------'"v-----------'~Y-NOY:[\⚓--------N512J1⌱-JN^1⌱2âᙠ82385QᑴãCPU¥GäÐḄåæç“Z5᧜\”è80386〈ᩭA31{A2uᙢᙬÁ82385ëÂᐸḄ10£`ᙢᙬᐸᑁc1024mde⚗⌱ìGmíîïãðñmᩩó¥ᑨõöজᐸ᪗µ>ᙢᙬA31{A15a¾Ýঝ)᪗µᨵᦔ£z1ÝঞÁaḄᨵᦔ£z1,ᑣÑ஺túCache⌱<Ḅq〈80386ᦪï³ûᡂG$ᘤäÐ஺öᐸᨵüGᩩóýᑣ⊤§Ñ/0þZ5ÿᙠᦪ〈80386ḄᑏᐭCacheḼᦋ82385ᑁḄ⚗஺᝞!"#ᓽ80386Ḅ%17&ᙢᙬ)Cache᪗+,᪗+ᨵᦔ&/1,0ᨵᦔ&/01,ᑣᦋ3456ᓫ8◤:;Ḅ“ᨵᦔ&”ᦋ/1;᝞᪗+!"ᑣᦋᒹ?:A31@A15ḄAᑏᐭ⚗Ḅ᪗+B:“᪗+ᨵᦔ&”C1,D:;Ḅ“ᨵᦔ&”C1,:ᐸF7G“ᨵᦔ&”H0஺IJB⍗ᑮMG⚗Ḅ“᪗+"”“!"”8◤:;Ḅ“ᨵᦔ&”C1ᓽN஺“OPQὶ”S80386〈ᩭA31-A2ᙢᙬ᪷A13-A5V512X2G⚗⌱X;⚗஺ᯠJ82385ᵨA31@A14[\Gᡠ⌱⚗Ḅ᪗+^_`ab\G⚗Ḅ᪗+ᨵᦔ&[ᨵᦔ&#\G⚗Ḅ^_[acd1஺᝞acᑮᨵXG⚗eᔠ"⌕hij82385kl;ḄXPCacheḄXGOm〈ᑮnoᦪpqr஺᝞ᦪᙠAPCacheᑣlMX;⚗ḄLRU&ᢣ

35ᔣBwxyᑣlLRU&ᢣᔣA஺ᙠ80386dᑏ᝞ᑏᐭḄᙽ()᧜ᑮCacheᑣCacheᑏᐭ"஺MCacheᙽ[Xᑁ஺᝞APCache"ᑣAPḄᙽlLRU&ᢣᔣBPw᝞BP"ᑣBPḄCache,`lLRU&ᢣᔣAP஺&ᡠᨵḄ᪗+ᨵᦔ&H0஺(3)ᑏ(Writethrough)Ḅᱯ¢£SCPU¥ᦪᑏᑮCache,Cache¦ᑴᘤk©ᓽ¥ᦪᑏᐭ;&C஺ᡠIª«¬CacheḄᨬ᱐¯V°±²kᨵ:Ḅᦪ³ᜫM᪵Ḅ⚪஺£SCPU¥ᦪᑏᑮCache,Cache¦ᑴᘤk©ᓽ¥ᦪᑏᐭ;&C஺ᡠIª«¬CacheḄᨬ᱐¯V°±²kᨵ:Ḅᦪ³ᜫM᪵Ḅ⚪஺15_`ᢈ01.pqḄº»pq¼½¾\Gᡈ\GIrḄÀᙽ(ÁᡈÂno)yÃÄÅ)ÆÇḄÈᐳÆP஺pq²ÊÊXQËÌqÍÎᒹ?XᝅÐᳮÇÒËÌḄÓᑣ(ÔÕ)஺ᙠ¼½¾nopqNIÖᡂXGᐹᨵÙ©ÚÛḄQᡂÁ஺pqÆÜᒹ?XQÇÝq⌕ḄÇÝqᨵÞ(1)ᦪq[ᙢᙬqÞMXßÇÝqàºáᦪËÌḄâã[äᙬḄæ஺(2)¦ᑴஹé[êÇÝqÞMXßÇÝqàºápqÚÛḄëìIí〉ឋḄðñ஺(3)ᵯóq[ᙢqÞMXßqàºáᵯóḄôßíᙢqḄᑖö[ᵨ÷஺(4)ᜓᵨqÞMXßqᔆû[ᵨᡝ/ឋÛ᡽ᐙᡈ/ᱯÿ⌕ᵨḄ஺2.Ḅᑖপᱏ(ChipBus,C-Bus)ᐗ!"#$ᔜ&'(Ḅ)ᱏ*+ᙠ-

36.᪀ᡂᱯ2345ᙽ(᝞CPU5ᙽ)Ḅ:;<=>஺(2)ᑁ(InternalBus,I-Bus)GHᡈJ!"#KLGHMᔜN(5ᙽ)OPḄ:;<=>஺Q᝞CPU5ᙽRSTᘤ5ᙽᡈI/O+X5ᙽOPḄ;<=>஺(3)᜜(ExternalBus,E-Bus)="#KLGHOPᡈKLGH]ᐸ_GH(`ᘤஹ`⊤ஹcᑴefg)OP:;<Ḅ=>"᝞EIARS-232C.IEEE-488g஺3.mnmn#ᢣᙠpᨵrst5ᙽ(uvᵨu"w2ᵫys5ᙽz{cᑴᩗ஺ᡠ~“t5ᙽ”"#ᐹᨵcᑴ4Ḅ5ᙽ"ᙠz{cᑴᩗO4ᦪ:Ḅ;<"᝞CPUᡈDMAcᑴᘤᡂ&ᐹᨵcᑴ4Ḅt5ᙽ]t5ᙽḄ#“5ᙽ”"#ᢣ4pḄᦪv"'ᐹᜓcᑴ4Ḅ5ᙽ"᝞☢¡Ḅ¢£+Xᵯ>8255AஹM¦cᑴᘤ8259Ag஺¨©rst5ᙽᔠᳮஹ¬ᦔᙢᵨ"¯°ᙠGHMᨵᜐᳮp²³´ḄL᪀"#mnᘤ(busarbiter)Ḅ·¸#v"ᔠoᳮᑖ¹º»஺¼Ḅmn½¾ᨵ¿&"ᓽÁ£mn½¾R¢£mn½¾஺(1)Á£mn½¾ᙠÁ£mn½¾M"ᔜst5ᙽz{ḄÃᐜᩗw2ÅÆ5ᙽᙠÁ£ÇMḄÈf஺É-s5ᙽ◤⌕ᵨu"ᐜËÌ“Í”஺ÎÆᨵᦔ"ᑣ⊤ÐÉÑᨵᐸ_5ᙽᙠᵨ"ÒÓÆ5ᙽ¯°gÔ"Õᑮ“Í”×ᦔ஺ᙠ“Í”ᜐÅ×ᦔØ᝱u"·Ú◤⌕ᵨḄt5ᙽÛ=¡“v”Üv஺“ᐕÞ”#“v”Ḅ஺

37(2)¢£mn½¾ßs5ᙽᨵ“v”R“ᐕÞ”஺ᔜ5ᙽP#àáḄ"âᨵ·ÚcᑴᐵG஺É-5ᙽ◤⌕ᵨu"䯰ᐜËå“Í”஺É“Í”ᨵᦔu"ᑣ⊤Ðᐸ_5ᙽÑᙠᵨ"ÒÓÆ5ᙽ¯°gÔ஺É“Í”×ᦔu"ᡠᨵ◤⌕ᵨḄ5ᙽÛÜ“v”஺mnᘤMᨵÃᐜᩗæṹᘤRÃᐜᩗèṹᘤ஺“v”éÃᐜᩗæṹᘤêëæṹ,¢ᵫÃᐜᩗèṹᘤᔣÃᐜᩗᨬ¬Ḅ5ᙽÜ“ᐕÞ”஺{ᑮ“ᐕÞ”Ḅ5ᙽî├“v”"¢f“Í”ᨵᦔØ᝱"ÉÆ5ᙽᵨðñf“Í”×ᦔØ᝱஺ᙠÁ£ஹ¢£¿&mn½¾M"Á£½¾ᵫÅḄÁ£;<òóᜧõö(ÉÁ£5ᙽÇpḄ5ᙽᦪ÷¡ruøù4òú¡GHᐕÞḄÃᐜᩗmnuP)"ûüɬÃᐜ!Ḅ5ᙽ⚣þᵨu"ÿᐜᩗḄᙽᑮ஺ᵨḄ஺ᑣᐕ"#$%"&'ᙽ()*+ᵯ-./ᩖ(1234567Ḅ*+8஺*:;Ḅ᪗=

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。
关闭