verilog 基于74ls194的移位寄存器设计.doc

verilog 基于74ls194的移位寄存器设计.doc

ID:57691132

大小:12.50 KB

页数:2页

时间:2020-09-01

verilog 基于74ls194的移位寄存器设计.doc_第1页
verilog 基于74ls194的移位寄存器设计.doc_第2页
资源描述:

《verilog 基于74ls194的移位寄存器设计.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、verilog基于74ls194的移位寄存器设计要求:设计一个7位串行输入,并行输出的移位寄存器,建立74ls194,使其具有置数,左移,右移及保持功能首先设计74ls194modulels194(Q,D,S0,S1,DSR,DSL,CLR,CLK);//输入输出端口列表output[3:0]Q;//输出是四位的Qinput[3:0]D;inputS0,S1,DSR,DSL,CLR,CLK;reg[3:0]Q;//输出是4位的寄存器变量,可以存储数据always@(posedgeCLKornegedgeCLR)//异步复位beginif(!C

2、LR)//检测Q=4'b0000;elsecase({S1,S0})//根据S0,S1的值来决定Q的输出2'b00:beginQ<=Q;end//保持2'b01:beginQ<=Q<<1;Q[0]<=DSR;end//左移2'b10:beginQ<=Q>>1;Q[3]<=DLS;end//右移2'b11:beginQ<=D;end//直接进行数据的传送default:beginQ<=4'bx;end//高阻态形式endcaseendendmodule最后设计移位寄存器moduleyiwei(dout,clk,clr,dsrin,st)outp

3、ut[7:0]dout;//七位的并行输出inputclk,clr,dsrin;//时钟输入信号clk,复位信号clr,inputst;//启动信号wiress1;wirest;wiredsrin;wire[3:0]ddinh;wire[3:0]ddinl;wirevcc;wireddout7;assignddinh=4'b1111;assignddinl[3:1]=3'b110;assignddinl[0]=dsrin;assignvcc=1;assignddout7=dout[7];ls194u1(.Q(dout[3:0]),.D(ddi

4、nl),.S1(ss1),.S0(vcc),.DSR(dsrin),.DSL(vcc),.CLR(clr),.CLK(clk));ls194u2(.Q(dout[7:4]),.D(ddinh),.S1(ss1),.S0(vcc),.DSR(dout[3]),.DSL(vcc),.CLR(clr),.CLK(clk));nandu3(ss1,ddout7,st);endmodule

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。