基于CPLD和VHDL的线阵CCD驱动电路的设计

基于CPLD和VHDL的线阵CCD驱动电路的设计

ID:38243997

大小:181.26 KB

页数:4页

时间:2019-05-31

上传者:U-2517
基于CPLD和VHDL的线阵CCD驱动电路的设计_第1页
基于CPLD和VHDL的线阵CCD驱动电路的设计_第2页
基于CPLD和VHDL的线阵CCD驱动电路的设计_第3页
基于CPLD和VHDL的线阵CCD驱动电路的设计_第4页
资源描述:

《基于CPLD和VHDL的线阵CCD驱动电路的设计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

基于CPLD和VHDL的线阵CCD驱动电路的设计孙军L2.张学典1’2(上海理工大学光电信息学院.卜海200093;上海光学仪器研究所.上海200093)摘要:本文采用了1℃D150l型号线阵CCD为例,介绍r一种基于CPLD的VHDL语言的时砰电路的设计方泫.介绍了该驱动电路的主要特性、工作原理和驱动时序的设计方法.通过运用QuamlsⅡ的仿真和实际电路的测试结果表明:该驱动电路完全满足设计要求,符合实际的r作需要.关键字:CPLD:CCD'VHDL;驱动时序电路;DesignandImplementationofANewLinearCCDDriverBasedonCPLDandVlIDLSUNJun他,ZHANGXue.dianl-2(TheUniversi≈,ofShanghaiforScienceandTechnologyCollegeofOpticsandElectronicInformationEngineering.Shanghai;ShanghaiOp6caI咖e11tResearchInstitute.Shanghai)Abstract:TakingTCDl501madebyTOSHIBACorporation笛example.thcdesignmethodofCCDtimedrivecircuitbasedonbothCPLDandVHDLisinuDduccd.Itskeyfeature,principleanddesignideasofthetimingscqucxlccarcprcscntodindetail.Finally,simulateverificationis嫩dcusing也cdevelopmentofQuartmil.Thesimulationandtheresultofmeasurementdemonswalcthatthcdesigniswellworkinactualcircuit..Keywords:CPLD;CCD;VHDL;drivingtimecircuitl引言在CCD应用技术中,产生CCD驱动时寄的设计,是CCD驱动电路的关键之一。高速CCD的驱动时序是一组周期性且关系比较复杂的信号,它是影响CCD器件的信号处理能力.转移效率,信噪比等性能的一个重要的因素。目前,常采用的驱动方法主要有直接数字驱动法,EPROM驱动,专用IC驱动,单片机驱动等,尽管每种驱动各有其特点,但是它们仍然存在着逻辑设计较为复杂、调试困难、柔韧性性差等缺点I¨。即使目前有些驱动电路使用了复杂可编程逻辑器件(CPLD)技术12J,但其功能简单,灵活性。扩展性和适用性依然较差,没有充分体现出CPLD技术的线阵CCD驱动电路的技术特点。本文给出了一种基于CPLD技术的线阵CCD驱动电路的设计方案。通过QuartuSⅡ的仿真和实际电路测试表明,这种方案可以很好的解决以往CCD驱动电路中存在的缺陷,具有很重要的应用价值。2CCD器件的基本结构及其工作原理2.1CCD的结构TCDl501是日本TOSHIBA公司生产的一款高灵敏度、低暗电流和内置采样保持电路的5000个感光像元的线阵CCD。它由5076个pn结光电二极管构成光敏元阵列,其中钱64个和后12个是用作暗电流检测而被遮蔽的,中间的5000个光电二极管是曝光敏单元,每个光敏单元的尺寸是7um长、7um高中心距亦为7unf.以往CCD驱动电路的驱动频率和积分时间已固定,佣户不能根据自己的需要来调节。它们虽然可以各自独立的工作,但当用到多个驱动电路时,无法保证同时对多个或者某个特定的目标进行 采样并同时输出有效的信号.TCDIS01驱动电路充分考虑了实际的需求,具有驱动频率可调,积分时间可调的特性,还能设定内、外同步,对外提供了2路同步接121信号,作为用户控制脉冲使用pJ。2.2CCD的工作原理线阵CCD图像传感器具有光电转换以及信号电荷的存储转移和读出功能。当光照在CCD光敏面上时,入射光子被像元吸收,同时产生一定数量的光生电荷。在光积分期间,这些光生电荷被存储在相应像元的势阱中,每个像元势阱中所积累的光生电荷数。与照射在该像元面上的平均照度和光积分时间的乘积有关。像元势阱中所累积的电荷包,在不同相位脉冲的驱动下,就从各个势阱中转移出去,这就是CCD的原理pJ。3TCDl501驱动电路的时序设计3.1驱动时序TCDl501需要转移脉冲SH,复位脉冲RS、钳位cP及移位脉冲矽l、92五路驱动脉冲才能正常工作。CCD的1个工作周期分为两个阶段:光积分阶段和电荷转移阶段。光积分阶段实现光电荷的积累,以此同时将上一帧转到移位寄存器中的电荷向输出放大器移位输出并清空移位寄存器中的无效电荷;电荷转移阶段实现光电荷向移位寄存器中转移【5l。1个sH时钟周期即为CCD的光积分时间,亦称为行周期,它控制着线阵CCD的整行转移时间,其周期应大于等于5076个口1脉冲的周期,只有这样才能保证SH在转移第2行信号时第l行信号能全部转移出器件,当SH由高变低时,0S输出端便开始进行输出。SH脉冲高电平到来时,驱动脉冲9l必须也为高电平,而必须保证sH的下降沿落在9l的高电平上,这样才能保证光敏区的信号电荷并行地向移位寄存器9l电极转移,当SH变低时,光敏区与模拟移位寄存器被隔离。光敏区进入光积分状态,模拟移位寄存器则在驱动脉冲9l、缈2的作用下使转移到矿l电极下势阱中的信号电荷逐位转移,并经过输出电路输出。SH脉冲由高变低并经过一定的时延后,复位脉冲RS每触发一次,9l脉冲翻转一次,并转移一个像元的信号电荷,因此1脉冲周期为Rs的2倍,采样保持时钟sP的作用是去掉输出信号中的调幅脉冲成分,使输出脉冲的幅度直接反映了像敏单元的照度,其周期和RS的周期相同pJ,但相位有一定的时间延迟,各时钟问的具体关系如图1._一,一一——————J£竺!!竺竺坚兰L———————————————————.1-.—J。‘。L...——,.....H.—......................_......—...........n........................§..厂L..::广—‘f=1^^^^^^l:l^『三lnI苎lI三l『苎I^^。^:三lI三II三lf=If:II三I唧广‘乏弋n门n—n几nnn广1n_几几nnn『',l几几nnn几^n一厂氲-几八广lrnn九n九n^nn几nnnn几九nnn几nm几几兀几n九几nn—n几几n九九nnn兀几nnnn兀九n兀n九芦1几r几n几nm八nr、n九nmmnnnnn几几^几八mn九九兀n几产V1n八nnn几几nn八八n九一m八九n广图lTCDl501驱动脉冲时序图3.2CCD驱动时序的设计因为TCDl501典型的输出数据速率为1MHz,综合个信号的脉冲宽度,选用8MHz的时钟频. RS信号由时钟外频直接计数8分频得到,CP可通过Ps信号延迟得到.缈信号也可以通过8MHz外频进行一系列的计数分频得到。需要注意的是,在电荷转移阶段,9有一个持续的宽脉冲与转移信号SH交迭,91,92的脉冲宽度必须大于SH的脉宽。因为在SH为高电平期间,势阱内的感光电荷正向移位寄存器中转移,如果在此器件9有上升沿或者下降沿出现,将会造成寄存器尚未接受完~帧所需电荷就开始发送本帧电荷,引起数据的混乱,这是设计中必须考虑到的。综上所述,将暗像元考虑在内,每个周期将有5076个RS脉冲,每个脉冲石油8个CLK产生,因此至少要有40608个CLK,所以在一个周期内,计数不能少于40608。基于VHDL的时序发生器原理组成框图如图2所示。●-_。。__-__-一8分频计数控制b——=—爿出l_,输{丁d.:lU8M时钟输入图2时序发生器原理框图3.3驱动时序的仿真结果使用ALTERA公司的MAX7000S系列的EPMT064stc44芯片,并用QuartusⅡ软件进行仿真.当输入信号为8MHz时,产生了如图3所示的各种脉冲。从仿真结果来看,线阵CCD多需要的转移脉冲,钳位脉冲,复位脉冲,移位脉冲的时序关系完全符合线性CCD的要求。将源程序下载到EPM7064stc44芯片中,并对实际电路进行测量,得到了与仿真结果完全相同的驱动脉冲,表明了该驱动时序设计方法是完全可行的。1肌n唧nnnnnnnnn啊n肌nnnn叫n衄nn肌肌nnnJlnJl八n『l丌n啊肌nfln肌nn肌nJlnn彻n兀n肌nnnnnnnnn]几-rI广1n厂]厂]厂]广1厂]广]广1门厂1广]1nn广1n广1厂]广1广1广1r广]广]广]广]厂]厂]厂]广]广]广]广]厂]广]r]广]广]广]n广]广]广]广]r]广]广]广1厂]]广]广]广]广]广]广]广]厂]广]广1广]广]广图3TCD1501驱动时序仿真波形4TCDl50l的驱动时序的硬件电路实现及实验结果4.1CCD驱动时序的硬件实现CCD的驱动时序的硬件电路有一块150mmx150mm的PCB来完成,采用双层板。CCD的驱动电路的硬件框图如图4所示。CCD的驱动时序由CPLD产生,CPLD可以通过JTAG接口实现在线编程(ISP)功能。CCD输出有两路信号,一路是CCD的模拟信号,一路是CCD的补偿输出信号。这两路信号进过AD后,从AD的输出端得到是一维视频信号。 .晶振}刮CPLD目CCD}龟AD}I....一i...一【...一【...一il上-I:偏置岖;—上上L——一;flAG型4产生驱动时序的硬件电路框图4.2CCD实验测试在不同的环境下,对制作的PCB板进行了测试,最后得到的CCD实际的输出结果如图5所示.实验的结果表明:该驱动电路和所有测量系统都可以正常工作,且测量精度均满足要求。Z一。-⋯-■7I⋯一下●-j产r二]iL一/图5示波器显示CCD的实际输出结果5结论经在分析了TCDIS01线性CCD驱动时序的基础上,结合了CPLD和VHDL语言,设计了一个高灵敏度的CCD驱动电路。通过对时序的仿真和PCB板的测试表明,本文所设计的驱动时序发生器产生的各时序信号可以满足线阵CCD-TCDl501芯片的驱动要求。由于采用的是可编程芯片,在不改交硬件的条件下。只要对编程产生新的时序信号,莸可以满足不同CCD的驱动要求,所以具有很强的通用性和灵活性。参考文献【11金明河,等.基于微处理器的CCD驱动逻辑产生方法【J1。电子技术应用,1999.(2J:2l-22【2]PENGXiao-ju皿WUMir-yuan,WANGWei-ping.DeingnandimplementationofEPC/CPCmeasurementsystembasedOnduallincarCCDIII.Optoclcc嘶cTechnology,2006.26(I}:57-61.(inChinese)f3】彭晓钧,何平安,袁炳夏.基于CPLD的线阵CCD驱动电路设计与实现【J】,光电子激光,2007(7):803-804【4】李汉字,张庆生,张涛,等.摹于CPLD和VcrilogHDL语言的一种线阵CCD驱动电路的设计【J】,光学技来,2007(1I);19-21网王庆有.图像传感器应用技术[M1.北京:电子工业出版社,2003【q盂继轲,基于单片机的线阵CCD驱动设计m,太原科技大学学报.2007(6):483.484

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。
关闭