EDA实训报告(1)

EDA实训报告(1)

ID:40534123

大小:381.00 KB

页数:14页

时间:2019-08-04

EDA实训报告(1)_第1页
EDA实训报告(1)_第2页
EDA实训报告(1)_第3页
EDA实训报告(1)_第4页
EDA实训报告(1)_第5页
资源描述:

《EDA实训报告(1)》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、142010—2011学年下学期09级电子信息班《EDA技术基础》实训报告课题名称数字钟的设计姓名学号专业09电子信息工程技术指导教师电子信息工程系2011年5月19日14141多功能数字钟设计任务1.1数字钟的设计目的1.学习数字钟的设计方法2.学习较复杂的的数字系统的设计方法1.2数字钟设计要求(1)设计一个能显示时、分、秒、的24小时计时数字钟。(2)熟练掌握各种计数器的使用,计时结果要用6个数码管分别显示时分秒的十位和个位。能用低位的进位输出构成高位的计数脉冲。(3)有校时功能。当Sb键按下时,分计数器以

2、秒脉冲的速度递增,并按60min循环,即计数到59min后再回00.当Sa键按下时,时计数器以秒脉冲的速度递增,并按24h循环,即计数到23h后再回00.(4)利用扬声器整点报时。当计时到达59分50秒时开始报时,在59分52秒、59分54秒、59分56秒、59分58秒时鸣叫,鸣叫声频为500Hz;到达59分60秒时为最后一声整点报时,频率为1kHz。1.3数字钟设计思路1、通过数字钟功能的要求采用自顶向下(TOP-DOWNTO)的设计方法。2、确定总体结构,如下图时间计数—》显示模块—》数码管显示2.数字钟工作

3、原理2.1数字钟的顶层结构 数字钟按照功能进行模块划分有:60进制BCD码计数器、24进制计数器、整点报时模块、2选1数据选择器、24选4数据选择器、片选信号、十分频模块、分频器、BCD7段LED译码器、扫描控制显示模块等组成。2.2数字钟功能模块原理图介绍图中一个CLKK和三个FEN10共同构成分频器模块。CLKK模块产生1KHz和500HZ两种频率信号,1KHz的信号再经3个FEN10模块分频为1Hz的方波信号。1Hz信号作为秒脉冲送人60进制计数器进行秒计时,满60秒产生一个进位信号Co,它和1Hz信号经

4、过2选1数据选择器21MUX由sb键控制选择其一送入分计数器进行计数。即按下Sb键时,将1Hz秒脉冲信号选送分计数器,从而实现快速校分功能;正常状态下,Sb键弹起则将满60s产生进位信号Co作为时钟计数,实现正常计时功能。2.3数字钟的顶层原理图14143.数字钟各模块的VHDL源程序设计3.1六十进制BCD码计数器的程序“秒”计数器电路与“分”计数器电路都是60进制,它由一级10进制计数器和一级6进制计数器连接构成。电路工作时,由秒脉冲发生器产生秒信号作为计数器和校对电路的标准脉冲信号,秒计数器位从0到59不断

5、循环,满59时向分计数器进位,分计数器跟秒计算器一样都是从0到59不断循环,满59时后向时计数器时位,时计数器从0到23不断循环.。1414LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYcnt60ISPORT(clk,clr:INSTD_LOGIC;ten,one:OUTSTD_LOGIC_VECTOR(3DOWNTO0);co:OUTSTD_LOGIC);ENDcnt60;ARCHITECTUREarcOF

6、cnt60ISSIGNALcin:STD_LOGIC;BEGINPROCESS(clk,clr)VARIABLEcnt0:STD_LOGIC_VECTOR(3DOWNTO0);BEGINIFclr='1'THENcnt0:="0000";ELSIFclk'EVENTANDclk='1'THENIFcnt0="1000"THENcnt0:=cnt0+1;cin<='1';ELSIFcnt0="1001"THENcin<='0';cnt0:="0000";ELSEcnt0:=cnt0+1;cin<='0';ENDIF

7、;ENDIF;one<=cnt0;ENDPROCESS;PROCESS(clk,clr,cin)VARIABLEcnt1:STD_LOGIC_VECTOR(3DOWNTO0);BEGINIFclr='1'THENcnt1:="0000";ELSIFclk'EVENTANDclk='1'THENIFcin='1'THENIFcnt1="0101"THENcnt1:="0000";co<='0';ELSEcnt1:=cnt1+1;co<='0';ENDIF;ELSEcnt1:=cnt1;ENDIF;ten<=cnt1

8、;ENDIF;ENDPROCESS;ENDarc;14143.2二十四进制计数器的VHDL源程序LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_ARITH.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYcnt24ISPORT(clk,clr:INSTD_LOGIC;ten

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。