eda设计与应用ppt第3章.ppt

eda设计与应用ppt第3章.ppt

ID:48789891

大小:927.50 KB

页数:48页

时间:2020-01-27

eda设计与应用ppt第3章.ppt_第1页
eda设计与应用ppt第3章.ppt_第2页
eda设计与应用ppt第3章.ppt_第3页
eda设计与应用ppt第3章.ppt_第4页
eda设计与应用ppt第3章.ppt_第5页
资源描述:

《eda设计与应用ppt第3章.ppt》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、第3章QuartusII集成开发工具基于QuartusII进行EDA设计开发的流程3.1QuartusII原理图设计1.为本项工程设计建立文件夹2.输入设计项目和存盘元件输入对话框3.将设计项目设置成可调用的元件将所需元件全部调入原理图编辑窗并连接好4.设计全加器顶层文件连接好的全加器原理图f_adder.bdf5.将设计项目设置成工程和时序仿真f_adder.bdf工程设置窗5.将设计项目设置成工程和时序仿真加入本工程所有文件5.将设计项目设置成工程和时序仿真全加器工程f_adder的仿真波形3.2QuartusII的优化设置1.Setting设置在QuartusII软件菜单栏中选择“As

2、signments”中的“Setting…”就可打开一个设置控制对话框。可以使用Setting对话框对工程、文件、参数等进行修改,还可设置编译器、仿真器、时序分析、功耗分析等等。Settings对话框2.分析与综合设置Analysis&SynthesisSettings项中包含有四个项目:VHDLInputVerilogHDLInputDefaultParametersSynthesisNetlistOptimization作为QuartusII的编译模块之一,Analysis&Synthesis包括QuarutsIIIntegratedSynthesis集成综合器,完全支持VHDL和Ver

3、ilogHDL语言,并提供控制综合过程的选项。支持Verilog-1995标准(IEEE标准1364-1995)和大多数Verilog-2001标准(IEEE1364-2001),还支持VHDL1987标准(IEEE标准1076-1987)和VHDL1993标准(IEEE标准1076-1993)。3.优化布局布线Setting对话框的FitterSettings页指定控制时序驱动编译和编译速度的选择,如下图所示。FitterSettings选项页moreFitterSettings选项页在CompilationReport中查看适配结果在TimingClosureFloorplan中查看适配

4、结果在ChipEditor中查看适配结果3.3QuartusII的时序分析全程编译前时序条件设置界面“MoreSettings…”中的设置时序分析结果3.4基于宏功能模块的设计Megafunction库是Altera提供的参数化模块库。从功能上看,可以把Megafunction库中的元器件分为:算术运算模块(arithmetic)逻辑门模块(gates)储存模块(storage)IO模块(I/O)3.4.1乘法器模块算数运算模块库参数化乘法器lpm_mult宏功能模块的基本参数表lpm_mult(1)调用lpm_mult(2)lpm_mult参数设置输入输出位宽设置乘法器类型设置(3)编译仿

5、真8位有符号乘法器电路功能仿真波形3.4.3计数器模块计数器输出端口宽度和计数方向设置计数器模和控制端口设置更多控制端口设置模24方向可控计数器电路lpm_counter计数器功能仿真波形参数化锁相环宏模块altpll以输入时钟信号作为参考信号实现锁相,从而输出若干个同步倍频或者分频的片内时钟信号。与直接来自片外的时钟相比,片内时钟可以减少时钟延迟,减小片外干扰,还可改善时钟的建立时间和保持时间,是系统稳定工作的保证。不同系列的芯片对锁相环的支持程度不同,但是基本的参数设置大致相同,下面便举例说明altpll的应用。3.4.5锁相环模块(1)输入altpll宏功能模块选择芯片和设置参考时钟锁

6、相环控制信号设置输入时钟设置(2)编译和仿真锁相环电路功能仿真波形ROM(ReadOnlyMemory,只读存储器)是存储器的一种,利用FPGA可以实现ROM的功能,但其不是真正意义上的ROM,因为FPGA器件在掉电后,其内部的所有信息都会丢失,再次工作时需要重新配置。QuartusII提供的参数化ROM是lpm_rom,下面用一个乘法器的例子来说明它的使用方法,这个例子使用lpm_rom构成一个4位×4位的无符号数乘法器,利用查表方法完成乘法功能。3.4.6存储器模块数据线、地址线宽度设置控制端口设置添加.mif文件如下图所示是基于ROM实现的4位×4位的无符号数乘法器电路图,其参数设置为

7、:LPM_WIDTH=8LPM_WIDTHAD=8LPM_FILE=mult_rom.mif仿真结果3.4.7其他模块Maxplus2库主要由74系列数字集成电路组成,包括时序电路宏模块和运算电路宏模块两大类,其中时序电路宏模块包括触发器、锁存器、计数器、分频器、多路复用器和移位寄存器,运算电路宏模块包括逻辑预算模块、加法器、减法器、乘法器、绝对值运算器、数值比较器、编译码器和奇偶校验器。对于这些小规模的集成

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。