5.cyclone iv 器件中的时钟网络与pll

5.cyclone iv 器件中的时钟网络与pll

ID:9817718

大小:1.50 MB

页数:42页

时间:2018-05-10

5.cyclone iv 器件中的时钟网络与pll_第1页
5.cyclone iv 器件中的时钟网络与pll_第2页
5.cyclone iv 器件中的时钟网络与pll_第3页
5.cyclone iv 器件中的时钟网络与pll_第4页
5.cyclone iv 器件中的时钟网络与pll_第5页
资源描述:

《5.cyclone iv 器件中的时钟网络与pll》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、5.CycloneIV器件中的时钟网络与PLLNovember2011CYIV-51005-2.3CYIV-51005-2.3本章节介绍了Cyclone®IV器件系列中具有高级特性的层次时钟网络与锁相环(PLL),包括了实时重配置PLL计数器时钟频率和相移功能的详尽说明,这些功能使您能够扫描PLL输出频率,以及动态调整输出时钟相移。1Quartus®II软件在未使用外部器件情况下使能PLL及其功能。本章节包含以下几部分内容:■时钟网络(第5-1页)■CycloneIV器件中的PLL(第5-18页)■CycloneIVPLL硬件概述(第5-20页)■时钟反馈模式(第5-23页)■

2、硬件特性(第5-26页)■可编程带宽(第5-32页)■相移的实现(第5-32页)■PLL级联(第5-33页)■PLL重配置(第5-34页)■扩频时钟(第5-41页)■PLL规范(第5-41页)时钟网络CycloneIVGX器件提供了多达12个专用时钟管脚(CLK[15..4]),以用于驱动全局时钟(GCLKs)。CycloneIVGX器件的每一侧(左侧除外)支持四个专用时钟管脚,这些时钟管脚能够驱动高达30个GCLK。CycloneIVE器件提供了多达15个专用时钟管脚(CLK[15..1]),以用于驱动高达20个GCLK。CycloneIVE器件的左侧支持三个专用时钟管脚,在

3、顶端、底部及右侧支持四个专用时钟管脚(EP4CE6与EP4CE10器件除外)。EP4CE6和EP4CE10器件仅在器件左侧支持三个专用时钟管脚,在器件右侧支持四个专用时钟引脚。©2011AlteraCorporation.Allrightsreserved.ALTERA,ARRIA,CYCLONE,HARDCOPY,MAX,MEGACORE,NIOS,QUARTUSandSTRATIXwordsandlogosaretrademarksofAlteraCorporationandregisteredintheU.S.PatentandTrademarkOfficeandinot

4、hercountries.Allotherwordsandlogosidentifiedastrademarksorservicemarksarethepropertyoftheirrespectiveholdersasdescribedatwww.altera.com/common/legal.html.AlterawarrantsperformanceofitsISOsemiconductorproductstocurrentspecificationsinaccordancewithAltera'sstandardwarranty,butreservestherightt

5、omakechangestoanyproductsand9001:2008servicesatanytimewithoutnotice.Alteraassumesnoresponsibilityorliabilityarisingoutoftheapplicationoruseofanyinformation,product,orserviceRegistereddescribedhereinexceptasexpresslyagreedtoinwritingbyAltera.Alteracustomersareadvisedtoobtainthelatestversionof

6、devicespecificationsbeforerelyingonanypublishedinformationandbeforeplacingordersforproductsorservices.CycloneIV器件手册,卷12011年11月Subscribe5–2Chapter5:CycloneIV器件中的时钟网络与PLL时钟网络f要了解关于每一个器件密度中的GCLK网络数量的详细信息,请参考CycloneIVFPGADeviceFamilyOverview章节。GCLK网络GCLK驱动整个器件,并对器件各象限提供时钟。器件中的所有资源(I/O单元、逻辑阵列模块(L

7、AB)、专用乘法器模块以及M9K存储器模块)都能够将GCLK用作时钟资源。这些全局网络资源可用于控制信号,例如:由外部管脚驱动的时钟使能及清零信号。另外,内部逻辑也能够驱动GCLK,以用于内部生成的GCLK和异步清零、时钟使能,或者其它具有高扇出的控制信号。第5-4页的表5-1和表5-2和第5-7页的表5-3列出了时钟源到GCLK网络的连接。表5-1.EP4CGX15,EP4CGX22和EP4CGX30G的CLK网路连接(1),(2)(1/2)GCLK网络GCLK网络时钟源012345678

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。