eda期末考试试卷及答案

eda期末考试试卷及答案

ID:19944088

大小:131.50 KB

页数:3页

时间:2018-10-08

eda期末考试试卷及答案_第1页
eda期末考试试卷及答案_第2页
eda期末考试试卷及答案_第3页
资源描述:

《eda期末考试试卷及答案》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、班级学号姓名密封线内不得答题(A卷)赣南师范学院2010—2011学年第一学期期末考试试卷(A卷)(闭卷)年级2008专业电子科学与技术(本)课程名称EDA技术基础题号一二三四五总分得分阅卷人注意事项:1、教师出题时请勿超出边界虚线;2、学生答题前将密封线外的内容填写清楚,答题不得超出密封线;3、答题请用蓝、黑钢笔或圆珠笔。一、单项选择题(30分,每题2分)1.以下关于适配描述错误的是BA.适配器的功能是将综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件B.适配所选定的目标器件可以不属于原综合器指定的目标器件系列C.适配完成后可以利用适配所产生的仿真文件作精确的时序仿真D

2、.通常,EDAL软件中的综合器可由专业的第三方EDA公司提供,而适配器则需由FPGA/CPLD供应商提供2.VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述D。A.器件外部特性B.器件的综合约束C.器件外部特性与内部功能D.器件的内部功能3.下列标识符中,B是不合法的标识符。A.State0B.9moonC.Not_Ack_0D.signall4.以下工具中属于FPGA/CPLD集成化开发工具的是DA.ModelSimB.SynplifyProC.MATLABD.QuartusII5.进程中的变量赋值语句,其变量更新是A。A.立即完成B.按顺序完

3、成C.在进程的最后完成D.都不对6.以下关于CASE语句描述中错误的是AA.CASE语句执行中可以不必选中所列条件名的一条B.除非所有条件句的选择值能完整覆盖CASE语句中表达式的取值,否则最末一个条件句的选择必须加上最后一句“WHENOTHERS=><顺序语句>”C.CASE语句中的选择值只能出现一次D.WHEN条件句中的选择值或标识符所代表的值必须在表达式的取值范围7.以下哪个程序包是数字系统设计中最重要最常用的程序包BA.STD_LOGIC_ARITHB.STD_LOGIC_1164C.STD_LOGIC_UNSIGNEDD.STD_LOGIC_SIGNED8.基于EDA软件的FPG

4、A/CPLD设计流程为:原理图/HDL文本输入→A→综合→适配→时序仿真→编程下载→硬件测试。A.功能仿真B.逻辑综合C.配置D.引脚锁定9.不完整的IF语句,其综合结果可实现DA.三态控制电路B.条件相或的逻辑电路C.双向控制电路D.时序逻辑电路10.下列语句中,属于并行语句的是AA.进程语句B.IF语句C.CASE语句D.FOR语句11.综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中,C是错误的。A.综合就是将电路的高级语言转化成低级的,可与FPGA/CPLD的基本结构相映射的网表文件B.综合可理解为,将软件描述与给定的硬

5、件结构用电路网表文件表示的映射过程,并且这种映射关系不是唯一的C.综合是纯软件的转换过程,与器件硬件结构无关D.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束12.CPLD的可编程是主要基于什么结构D。A.查找表(LUT)B.ROM可编程C.PAL可编程D.与或阵列可编程13.以下器件中属于Altera公司生产的是BA.ispLSI系列器件B.MAX系列器件C.XC9500系列器件D.Virtex系列器件14.在VHDL语言中,下列对时钟边沿检测描述中,错误的是DA.ifclk'eventandclk='1'thenB.ifclk'stableandnotclk='1

6、'thenC.ifrising_edge(clk)thenD.ifnotclk'stableandclk='1'then15.以下关于状态机的描述中正确的是BA.Moore型状态机其输出是当前状态和所有输入的函数B.与Moore型状态机相比,Mealy型的输出变化要领先一个时钟周期C.Mealy型状态机其输出是当前状态的函数D.以上都不对第3页(共3页)班级学号姓名密封线内不得答题(A卷)二、EDA名词解释,写出下列缩写的中文含义(10分,每题2分)1.FPGA:现场可编程门阵列2.HDL:硬件描述语言3.LE:逻辑单元4.FSM:有限状态机5.SOPC:可编程片上系统三、程序填空题(20

7、分,每空2分)以下是一个模为60(0~59)的8421BCD码加法计数器VHDL描述,请补充完整LIBRARYIEEE;UseIEEE.std_logic_1164.all;ENTITYtaISPORT(CLK:INSTD_LOGIC;SHI:OUTINTEGERRANGE0TO9;GE:OUTINTEGERRANGE0TO9);END;ARCHITECTUREbhvOFtaISSIGNALSHI1,GE1:INTEGE

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。