VHDL语言构造体的描述方式

VHDL语言构造体的描述方式

ID:38856109

大小:281.31 KB

页数:30页

时间:2019-06-20

VHDL语言构造体的描述方式_第1页
VHDL语言构造体的描述方式_第2页
VHDL语言构造体的描述方式_第3页
VHDL语言构造体的描述方式_第4页
VHDL语言构造体的描述方式_第5页
资源描述:

《VHDL语言构造体的描述方式》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第4章VHDL语言构造体的 描述方式主要内容行为描述方式寄存器传输(RTL)描述方式结构描述方式所谓构造体的行为描述(BehavioralDescriptions),就是对整个系统的数学模型的描述。行为描述在EDA工程中称为高层次描述或高级描述。在行为描述方式的程序中大量采用算术运算、关系运算、惯性延时、传输延时等难于进行逻辑综合和不能进行逻辑综合的VHDL语句。采用行为描述方式的VHDL语言程序,在一般情况下只能用于行为层次的仿真,而不能进行逻辑综合。4.1行为描述方式在VHDL语言中一些专门用于描述系统行为的语句:代入语句延时语句多驱动器描述

2、语句GENERIC语句代入语句是VHDL语言中进行行为描述的最基本的语句。代入语句最普遍的格式为:信号量<=敏感信号量表达式;4.1.1代入语句例如:(1)a<=b;该语句的功能是a得到b的值,b为敏感量,b值发生变化时,该语句执行;(2)z<=aNOR(bNANDc);3个敏感量a,b,c,无论哪一个的值发生变化时,该代入语句被执行;(3)a<=bAFTER5ns;当b发生变化5ns以后才代入到信号a。例4-1LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYand2ISPORT(a,b:INSTD_LO

3、GIC;y:OUTSTD_LOGIC);ENDand2;ARCHITECTUREand2_behaviourOFand2ISBEGINy<=aANDbAFTER5ns;ENDand2_behaviour;例4-2四选一电路在VHDL语言中,存在两种延时类型:惯性延时和传输延时。这两种延时常用于VHDL语言的行为描述方式。4.1.2延时语句在惯性模型中,系统或器件输出信号要发生变化必须有一段时间的延时,这段延时时间常被称为系统或器件的惯性或称惯性延时。在VHDL语言中,语句中如果不作特别说明,产生的延时一定是惯性延时。特点:当一个系统或器件的输入信

4、号变化周期小于它的惯性延时时,其输出保持不变。几乎所有器件都存在惯性延时,因此,硬件电路的设计人员为了逼真地仿真硬件电路的实际工作情况,在代入语句中总要加上惯性延时时间的说明。例如:a<=bAFTER5ns;惯性延时说明只在行为仿真时有意义,逻辑综合时将被忽略,或者在逻辑综合前必须去掉延时说明。惯性延时传输延时常用于描述总线延时、连接线的延时及ASIC芯片中的路径延时。传输延时不是缺省的,必须在语句中明确说明。举例:传输延时b<=aAFTER20ns图1惯性延时示例b<=TRANSPORTaAFTER20ns图2传输延时示例在VHDL语言中,创建

5、一个驱动器可以由一条信号代入语句来实现。当有多个信号并行输出时,在构造体内部必须利用代入语句,对每个信号创建一个驱动器。多个驱动器的输出连接到同一条信号线时,由判决函数决定输出哪一个值。多驱动器的构造体应按如下方式描述:ARCHITECTUREsampleOFsampleISBEGINa<=bAFTER5ns;a<=dAFTER5ns;ENDsample;4.1.3多驱动器描述语句GENERIC语句用不同层次设计模块之间信息的传递和参数的传递,可用于位矢量的长度、数组的位长、器件的延时时间等参数的传递。这些参数除整数类型外,其他数据类型不能综合。

6、使用GENERIC语句易于使器件模块化和通用化。有些模块其逻辑关系是明确的,但是由于半导体工艺、半导体材料的不同,而使器件具有不同的延时、不同的上升沿和下降沿。为了简化设计,对该模块进行通用设计,参数根据不同材料、工艺待定。这样设计它的通用模块,用GENERIC语句将参数初始化后,即可实现不同材料、工艺的电路模块的仿真和综合了。4.1.4GENERIC语句GENERIC语句用法举例,例4-4ENTITYand2ISGENERIC(rise,fall:TIME);--参数类型说明PORT(a,b:INBIT;c:OUTBIT);ENDand2;AR

7、CHITECTUREgeneric_exampleOFand2ISSIGNALt:BIT;BEGINt<=aandb;c<=tAFTER(rise)WHENt='1‘ELSEtAFTER(fall);ENDARCHITECTUREgeneric_example;例4-54.2寄存器传输描述方式对于用行为描述方式的VHDL语言程序只有改写为寄存器传输描述方式才能进行逻辑综合。寄存器传输描述方式也称为数据流描述方式。在RTL描述方式中有两种不同的方法:(1)寄存器之间的功能描述方式;(2)寄存器硬件一一对应的直接描述方式。下面举一个二选一电路的例子,

8、用两种不同的方法来描述该电路。功能描述的RTL描述方式entitymux2isport(input:instd_logic_vector

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。