第七章VHDL语言的描述方式.ppt

第七章VHDL语言的描述方式.ppt

ID:48166772

大小:231.00 KB

页数:48页

时间:2020-01-16

第七章VHDL语言的描述方式.ppt_第1页
第七章VHDL语言的描述方式.ppt_第2页
第七章VHDL语言的描述方式.ppt_第3页
第七章VHDL语言的描述方式.ppt_第4页
第七章VHDL语言的描述方式.ppt_第5页
资源描述:

《第七章VHDL语言的描述方式.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、VHDL语言的描述方式 及描述语句20081北京师范大学信息科学与技术学院描述方式结构体并行语句A并行语句B并行语句C进程1进程2进程3进程4输入输出VHDL描述的器件20082北京师范大学信息科学与技术学院并行语句&顺序语句并行语句进程块并行信号赋值元件例化生成并行过程调用(过程、函数)——在结构体中同时执行,执行顺序与书写顺序无关顺序语句赋值语句流程控制语句等待语句子程序调用语句返回语句空操作——只出现在进程、子程序内部(PROCESS、FUNCTION、PROCEDURE)20083北京师范大学信息科学

2、与技术学院块语句(BLOCK)格式块标号:BLOCK[(表达式)][类属子句;][类属接口表;][端口字句;][端口表;]块说明;BEGIN并行语句;ENDBLOCK(块标号);20084北京师范大学信息科学与技术学院用途:通常用于结构描述;嵌套:内层BLOCK可使用外层BLOCK所定义的信号,BLOCK内定义的信号只能在本BLOCK内部使用块内外信号的传递:通过PORT语句、GENERIC语句实现块语句(BLOCK)20085北京师范大学信息科学与技术学院示例块语句(BLOCK)CPUALUREGClkin

3、taddr32data32(中断)REG1REG2qbuszbusad1ad220086北京师范大学信息科学与技术学院块语句Architecturecpu_blkOFcpuIsSignalibus,dbus:tw32;BeginALU:Block——ALU块Signalqbus:tw32;BeginALU描述语句;EndBlockALU;——可使用的信号:ibus,dbus,qbus不可用信号:zbus,ad1,ad2——可用信号:ibus,dbus,zbus,ad1不可用信号:qbus,ad2——可用信号:

4、ibus,dbus,zbus,ad2不可用信号:qbus,ad1REG:Block——REG块Signalzbus:tw32;BeginREG1:Block——REG1块Signalad1:tw32;BeginREG1描述语句;EndBlockREG!;REG2:Block——REG2块Signalad2:tw32;BeginREG2描述语句;EndBlockREG!;EndBlockREG;Endcpu_blk;块语句(BLOCK)20087北京师范大学信息科学与技术学院块语句(BLOCK)格式[标号:]P

5、ROCESS(敏感信号表)说明语句;BEGIN顺序语句;ENDPROCESS[标号];进程语句(PROCESS)20088北京师范大学信息科学与技术学院特点a.与其它进程并发进行b.可使用结构体、实体中所定义的信号;c.进程内部的所有语句按顺序执行;d.进程的启动敏感信号表(显式)WAIT语句;e.进程间的通信通过信号量传递实现;进程语句(PROCESS)20089北京师范大学信息科学与技术学院进程语句(PROCESS)示例:计数器(无敏感信号表)PROCESSBEGINWAITUNTIL(clk'event

6、ANDclk='1');if(reset='0')thenqcnt<="0000";elsif(clk'eventANDclk='1')thenif(c_in='1')thenqcnt<=qcnt+1;endif;endif;ENDPROCESS;200810北京师范大学信息科学与技术学院进程语句(PROCESS)示例:计数器(带敏感信号表)PROCESS(clk,reset)BEGINif(reset='0')thenqcnt<=(others=>'0');elsif(clk'eventANDclk='1'

7、)thenif(c_in='1')thenqcnt<=qcnt+1;endif;endif;ENDPROCESS;200811北京师范大学信息科学与技术学院并行信号赋值语句使用范围结构体内,进程之外种类简单信号赋值语句条件信号赋值语句选择信号赋值语句200812北京师范大学信息科学与技术学院简单信号赋值语句格式:目标信号<=表达式;例q<=a+b;200813北京师范大学信息科学与技术学院格式:目标信号<=表达式1WHEN条件1ELSE表达式2WHEN条件2ELSE...表达式n;条件信号赋值语句200814

8、北京师范大学信息科学与技术学院条件信号赋值语句示例4选1逻辑电路ArchitecturertlOFmux4IsSignalsel:std_logic_vector(1downto0);Beginsel<=b&a;q<=i0Whensel="00"Elsei1Whensel="01"Elsei2Whensel="10"Elsei3Whensel="11"Else'x';Endrtl;注意:条件赋值语句

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。