第4章-VHDL语言构造体的描述方式.ppt

第4章-VHDL语言构造体的描述方式.ppt

ID:61669011

大小:1.31 MB

页数:61页

时间:2021-03-09

第4章-VHDL语言构造体的描述方式.ppt_第1页
第4章-VHDL语言构造体的描述方式.ppt_第2页
第4章-VHDL语言构造体的描述方式.ppt_第3页
第4章-VHDL语言构造体的描述方式.ppt_第4页
第4章-VHDL语言构造体的描述方式.ppt_第5页
资源描述:

《第4章-VHDL语言构造体的描述方式.ppt》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、第4章VHDL语言构造体的描述方式4.1构造体的行为描述方式4.2构造体的寄存器传输(RTL)描述方式4.3构造体的结构描述方式习题VHDL的结构体具体描述整个设计实体的逻辑功能,对于所希望的电路功能行为,可以在结构体中用不同的语句类型和描述方式来表达,即对于相同的逻辑行为,可以有不同的语句表达方式。对硬件系统进行描述,可以采用3种描述方式,即行为描述方式、寄存器传输(或数据流)描述方式和结构化的描述方式。这3种描述方式从不同的角度对硬件系统进行行为和功能的描述。在当前情况下,采用后两种描述方式的VHDL语言程序可以进行逻辑综合,而采用行为描述的VHDL语言程序,大部分只用

2、于系统仿真,少数的也可以进行逻辑综合。在实际应用中,为了能兼顾整个设计的功能、资源、性能几方面的因素,通常混合使用这三种描述方式。4.1构造体的行为描述方式所谓行为描述可以有两个含义从VHDL仿真的角度看,凡是可以在VHDL仿真器上进行仿真测试的,且可含有任何不可综合语句的系统描述模型都可以称为行为描述模型。从具体的描述方法上看,VHDL的结构体只描述了所希望电路的功能或者说电路行为,而没有直接指明或涉及实现这些行为的硬件结构,包括硬件特征、连线方式、逻辑行为方式,则称为行为风格的描述或行为描述模型。4.1构造体的行为描述方式行为描述方式是对系统数学模型的描述,其抽象程度比

3、寄存器传输描述方式和结构化描述方式的更高。在行为描述方式的程序中大量采用算术运算、关系运算、惯性延时、传输延时等难于进行逻辑综合和不能进行逻辑综合的VHDL语句。采用行为描述方式的VHDL语言程序主要用于系统数学模型的仿真或者系统工作原理的仿真。4.1.1代人语句代入语句是VHDL语言中进行行为描述的最基本的语句。格式为:信号量<=敏感信号量表达式;例如:a<=b;z<=aNOR(bNANDc);具有延时时间的代入语句如下所示:a<=bAFTER5ns;该语句表示,当b发生新的变化5ns以后才被代入到信号a。门电路都存在固有延时,既当输入端发生变化以后,门电路的输出端的新的

4、输出总要比输入端的变化延时若干时间,例如延时5ns。门电路的这种输出特性就可以用具有延时时间的代入语句来描述。[例4—1]ENTITYand21SPORT(a,b:INBIT;c:OUTBIT);ENDand2;ARCHITECTUREand2_behavOFand21SBEGINC<=aANDbAFTER5ns;ENDand2_behav;[例4-2]LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYmux41SPORT(i0,i1,i2,i3,a,b:INSTD_LOG

5、IC;q:OUTSTD—LOGIC);ENDmux4;ARCHITECTUREbehavOFmux41SSIGNALsel:INTEGER;BEGINWITHselSELECTq<=i0AFTER10nsWHEN0,i1AFTER10nsWHEN1,i2AFTER10nsWHEN2,i3AFTER10nsWHEN3,’X’AFTER10nsWHENOTHERS;sel<=0WHENa=’0’ANDb=’0’ELSE1WHENa=’1’ANDb=’0’ELSE2WHENa=’0’ANDb=’1’ELSE3WHENa=’1’ANDb=’1’ELSE4;ENDbehav;4.1.

6、2延时语句VHDL语言中存在两种延时类型:惯性延时传输延时这两种延时常用于VHDL语言的行为描述方式。4.1.2延时语句1.惯性延时在VHDL语言中,惯性延时是缺省的,即在语句中如果不作特别说明,产生的延时一定是惯性延时。这是因为大多数器件在行为仿真时都会呈现这种惯性延时。惯性延时有一个重要的特点,即当一个系统或器件,它的输入信号变化周期小于系统或器件的惯性(或惯性延时)时,其输出将保持不变。几乎所有器件都存在惯性延时,因此,硬件电路的设计人员为了逼真地仿真硬件电路的实际工作情况,在代入语句中总要加上惯性延时时间的说明。例如:b<=aAFTER10ns;惯性延时说明只在行为

7、仿真时有意义,逻辑综合时将被忽略,或者在逻辑综合前必须去掉延时说明。4.1.2延时语句2.传输延时在VHDL语言中,传输延时必须在语句中明确说明。“TRANSPORT”是专门用于说明传输延时的前置词。传输延时用于描述总线延时、连接线的延时及ASIC芯片中的路径延时。具有传输延时的代入语句如下所示:b<=TRANSPORTaAFTER20ns;第一个图分析:当一个系统或器件,它的输入信号变化周期小于系统或器件的惯性(或惯性延时)时,其输出将保持不变。第二个图分析:当有10ns的脉冲波形输入时,经20ns传输延时以后,

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。